VirtualBox

source: vbox/trunk/src/VBox/Devices/Audio/DevIchAc97.cpp@ 82781

Last change on this file since 82781 was 82463, checked in by vboxsync, 4 years ago

pdmaudioifs.h,Devices/Audio: Corrected the size alignment of PDMAUDIOSTREAMCFG to 8 bytes. bugref:9218

  • Property svn:eol-style set to native
  • Property svn:keywords set to Author Date Id Revision
File size: 170.1 KB
Line 
1/* $Id: DevIchAc97.cpp 82463 2019-12-06 14:29:16Z vboxsync $ */
2/** @file
3 * DevIchAc97 - VBox ICH AC97 Audio Controller.
4 */
5
6/*
7 * Copyright (C) 2006-2019 Oracle Corporation
8 *
9 * This file is part of VirtualBox Open Source Edition (OSE), as
10 * available from http://www.virtualbox.org. This file is free software;
11 * you can redistribute it and/or modify it under the terms of the GNU
12 * General Public License (GPL) as published by the Free Software
13 * Foundation, in version 2 as it comes in the "COPYING" file of the
14 * VirtualBox OSE distribution. VirtualBox OSE is distributed in the
15 * hope that it will be useful, but WITHOUT ANY WARRANTY of any kind.
16 */
17
18
19/*********************************************************************************************************************************
20* Header Files *
21*********************************************************************************************************************************/
22#define LOG_GROUP LOG_GROUP_DEV_AC97
23#include <VBox/log.h>
24#include <VBox/vmm/pdmdev.h>
25#include <VBox/vmm/pdmaudioifs.h>
26
27#include <iprt/assert.h>
28#ifdef IN_RING3
29# ifdef DEBUG
30# include <iprt/file.h>
31# endif
32# include <iprt/mem.h>
33# include <iprt/semaphore.h>
34# include <iprt/string.h>
35# include <iprt/uuid.h>
36#endif
37
38#include "VBoxDD.h"
39
40#include "AudioMixBuffer.h"
41#include "AudioMixer.h"
42#include "DrvAudio.h"
43
44
45/*********************************************************************************************************************************
46* Defined Constants And Macros *
47*********************************************************************************************************************************/
48
49/** Current saved state version. */
50#define AC97_SAVED_STATE_VERSION 1
51
52/** Default timer frequency (in Hz). */
53#define AC97_TIMER_HZ_DEFAULT 100
54
55/** Maximum number of streams we support. */
56#define AC97_MAX_STREAMS 3
57
58/** Maximum FIFO size (in bytes). */
59#define AC97_FIFO_MAX 256
60
61#define AC97_SR_FIFOE RT_BIT(4) /**< rwc, FIFO error. */
62#define AC97_SR_BCIS RT_BIT(3) /**< rwc, Buffer completion interrupt status. */
63#define AC97_SR_LVBCI RT_BIT(2) /**< rwc, Last valid buffer completion interrupt. */
64#define AC97_SR_CELV RT_BIT(1) /**< ro, Current equals last valid. */
65#define AC97_SR_DCH RT_BIT(0) /**< ro, Controller halted. */
66#define AC97_SR_VALID_MASK (RT_BIT(5) - 1)
67#define AC97_SR_WCLEAR_MASK (AC97_SR_FIFOE | AC97_SR_BCIS | AC97_SR_LVBCI)
68#define AC97_SR_RO_MASK (AC97_SR_DCH | AC97_SR_CELV)
69#define AC97_SR_INT_MASK (AC97_SR_FIFOE | AC97_SR_BCIS | AC97_SR_LVBCI)
70
71#define AC97_CR_IOCE RT_BIT(4) /**< rw, Interrupt On Completion Enable. */
72#define AC97_CR_FEIE RT_BIT(3) /**< rw FIFO Error Interrupt Enable. */
73#define AC97_CR_LVBIE RT_BIT(2) /**< rw Last Valid Buffer Interrupt Enable. */
74#define AC97_CR_RR RT_BIT(1) /**< rw Reset Registers. */
75#define AC97_CR_RPBM RT_BIT(0) /**< rw Run/Pause Bus Master. */
76#define AC97_CR_VALID_MASK (RT_BIT(5) - 1)
77#define AC97_CR_DONT_CLEAR_MASK (AC97_CR_IOCE | AC97_CR_FEIE | AC97_CR_LVBIE)
78
79#define AC97_GC_WR 4 /**< rw Warm reset. */
80#define AC97_GC_CR 2 /**< rw Cold reset. */
81#define AC97_GC_VALID_MASK (RT_BIT(6) - 1)
82
83#define AC97_GS_MD3 RT_BIT(17) /**< rw */
84#define AC97_GS_AD3 RT_BIT(16) /**< rw */
85#define AC97_GS_RCS RT_BIT(15) /**< rwc */
86#define AC97_GS_B3S12 RT_BIT(14) /**< ro */
87#define AC97_GS_B2S12 RT_BIT(13) /**< ro */
88#define AC97_GS_B1S12 RT_BIT(12) /**< ro */
89#define AC97_GS_S1R1 RT_BIT(11) /**< rwc */
90#define AC97_GS_S0R1 RT_BIT(10) /**< rwc */
91#define AC97_GS_S1CR RT_BIT(9) /**< ro */
92#define AC97_GS_S0CR RT_BIT(8) /**< ro */
93#define AC97_GS_MINT RT_BIT(7) /**< ro */
94#define AC97_GS_POINT RT_BIT(6) /**< ro */
95#define AC97_GS_PIINT RT_BIT(5) /**< ro */
96#define AC97_GS_RSRVD (RT_BIT(4) | RT_BIT(3))
97#define AC97_GS_MOINT RT_BIT(2) /**< ro */
98#define AC97_GS_MIINT RT_BIT(1) /**< ro */
99#define AC97_GS_GSCI RT_BIT(0) /**< rwc */
100#define AC97_GS_RO_MASK ( AC97_GS_B3S12 \
101 | AC97_GS_B2S12 \
102 | AC97_GS_B1S12 \
103 | AC97_GS_S1CR \
104 | AC97_GS_S0CR \
105 | AC97_GS_MINT \
106 | AC97_GS_POINT \
107 | AC97_GS_PIINT \
108 | AC97_GS_RSRVD \
109 | AC97_GS_MOINT \
110 | AC97_GS_MIINT)
111#define AC97_GS_VALID_MASK (RT_BIT(18) - 1)
112#define AC97_GS_WCLEAR_MASK (AC97_GS_RCS | AC97_GS_S1R1 | AC97_GS_S0R1 | AC97_GS_GSCI)
113
114/** @name Buffer Descriptor (BD).
115 * @{ */
116#define AC97_BD_IOC RT_BIT(31) /**< Interrupt on Completion. */
117#define AC97_BD_BUP RT_BIT(30) /**< Buffer Underrun Policy. */
118
119#define AC97_BD_LEN_MASK 0xFFFF /**< Mask for the BDL buffer length. */
120
121#define AC97_MAX_BDLE 32 /**< Maximum number of BDLEs. */
122/** @} */
123
124/** @name Extended Audio ID Register (EAID).
125 * @{ */
126#define AC97_EAID_VRA RT_BIT(0) /**< Variable Rate Audio. */
127#define AC97_EAID_VRM RT_BIT(3) /**< Variable Rate Mic Audio. */
128#define AC97_EAID_REV0 RT_BIT(10) /**< AC'97 revision compliance. */
129#define AC97_EAID_REV1 RT_BIT(11) /**< AC'97 revision compliance. */
130/** @} */
131
132/** @name Extended Audio Control and Status Register (EACS).
133 * @{ */
134#define AC97_EACS_VRA RT_BIT(0) /**< Variable Rate Audio (4.2.1.1). */
135#define AC97_EACS_VRM RT_BIT(3) /**< Variable Rate Mic Audio (4.2.1.1). */
136/** @} */
137
138/** @name Baseline Audio Register Set (BARS).
139 * @{ */
140#define AC97_BARS_VOL_MASK 0x1f /**< Volume mask for the Baseline Audio Register Set (5.7.2). */
141#define AC97_BARS_GAIN_MASK 0x0f /**< Gain mask for the Baseline Audio Register Set. */
142#define AC97_BARS_VOL_MUTE_SHIFT 15 /**< Mute bit shift for the Baseline Audio Register Set (5.7.2). */
143/** @} */
144
145/** AC'97 uses 1.5dB steps, we use 0.375dB steps: 1 AC'97 step equals 4 PDM steps. */
146#define AC97_DB_FACTOR 4
147
148/** @name Recording inputs?
149 * @{ */
150#define AC97_REC_MIC UINT8_C(0)
151#define AC97_REC_CD UINT8_C(1)
152#define AC97_REC_VIDEO UINT8_C(2)
153#define AC97_REC_AUX UINT8_C(3)
154#define AC97_REC_LINE_IN UINT8_C(4)
155#define AC97_REC_STEREO_MIX UINT8_C(5)
156#define AC97_REC_MONO_MIX UINT8_C(6)
157#define AC97_REC_PHONE UINT8_C(7)
158#define AC97_REC_MASK UINT8_C(7)
159/** @} */
160
161/** @name Mixer registers / NAM BAR registers?
162 * @{ */
163#define AC97_Reset 0x00
164#define AC97_Master_Volume_Mute 0x02
165#define AC97_Headphone_Volume_Mute 0x04 /**< Also known as AUX, see table 16, section 5.7. */
166#define AC97_Master_Volume_Mono_Mute 0x06
167#define AC97_Master_Tone_RL 0x08
168#define AC97_PC_BEEP_Volume_Mute 0x0a
169#define AC97_Phone_Volume_Mute 0x0c
170#define AC97_Mic_Volume_Mute 0x0e
171#define AC97_Line_In_Volume_Mute 0x10
172#define AC97_CD_Volume_Mute 0x12
173#define AC97_Video_Volume_Mute 0x14
174#define AC97_Aux_Volume_Mute 0x16
175#define AC97_PCM_Out_Volume_Mute 0x18
176#define AC97_Record_Select 0x1a
177#define AC97_Record_Gain_Mute 0x1c
178#define AC97_Record_Gain_Mic_Mute 0x1e
179#define AC97_General_Purpose 0x20
180#define AC97_3D_Control 0x22
181#define AC97_AC_97_RESERVED 0x24
182#define AC97_Powerdown_Ctrl_Stat 0x26
183#define AC97_Extended_Audio_ID 0x28
184#define AC97_Extended_Audio_Ctrl_Stat 0x2a
185#define AC97_PCM_Front_DAC_Rate 0x2c
186#define AC97_PCM_Surround_DAC_Rate 0x2e
187#define AC97_PCM_LFE_DAC_Rate 0x30
188#define AC97_PCM_LR_ADC_Rate 0x32
189#define AC97_MIC_ADC_Rate 0x34
190#define AC97_6Ch_Vol_C_LFE_Mute 0x36
191#define AC97_6Ch_Vol_L_R_Surround_Mute 0x38
192#define AC97_Vendor_Reserved 0x58
193#define AC97_AD_Misc 0x76
194#define AC97_Vendor_ID1 0x7c
195#define AC97_Vendor_ID2 0x7e
196/** @} */
197
198/** @name Analog Devices miscellaneous regiter bits used in AD1980.
199 * @{ */
200#define AC97_AD_MISC_LOSEL RT_BIT(5) /**< Surround (rear) goes to line out outputs. */
201#define AC97_AD_MISC_HPSEL RT_BIT(10) /**< PCM (front) goes to headphone outputs. */
202/** @} */
203
204
205/** @name BUP flag values.
206 * @{ */
207#define BUP_SET RT_BIT_32(0)
208#define BUP_LAST RT_BIT_32(1)
209/** @} */
210
211/** @name AC'97 source indices.
212 * @note The order of these indices is fixed (also applies for saved states) for
213 * the moment. So make sure you know what you're done when altering this!
214 * @{
215 */
216#define AC97SOUNDSOURCE_PI_INDEX 0 /**< PCM in */
217#define AC97SOUNDSOURCE_PO_INDEX 1 /**< PCM out */
218#define AC97SOUNDSOURCE_MC_INDEX 2 /**< Mic in */
219#define AC97SOUNDSOURCE_MAX 3 /**< Max sound sources. */
220/** @} */
221
222/** Port number (offset into NABM BAR) to stream index. */
223#define AC97_PORT2IDX(a_idx) ( ((a_idx) >> 4) & 3 )
224/** Port number (offset into NABM BAR) to stream index, but no masking. */
225#define AC97_PORT2IDX_UNMASKED(a_idx) ( ((a_idx) >> 4) )
226
227/** @name Stream offsets
228 * @{ */
229#define AC97_NABM_OFF_BDBAR 0x0 /**< Buffer Descriptor Base Address */
230#define AC97_NABM_OFF_CIV 0x4 /**< Current Index Value */
231#define AC97_NABM_OFF_LVI 0x5 /**< Last Valid Index */
232#define AC97_NABM_OFF_SR 0x6 /**< Status Register */
233#define AC97_NABM_OFF_PICB 0x8 /**< Position in Current Buffer */
234#define AC97_NABM_OFF_PIV 0xa /**< Prefetched Index Value */
235#define AC97_NABM_OFF_CR 0xb /**< Control Register */
236#define AC97_NABM_OFF_MASK 0xf /**< Mask for getting the the per-stream register. */
237/** @} */
238
239
240/** @name PCM in NABM BAR registers (0x00..0x0f).
241 * @{ */
242#define PI_BDBAR (AC97SOUNDSOURCE_PI_INDEX * 0x10 + 0x0) /**< PCM in: Buffer Descriptor Base Address */
243#define PI_CIV (AC97SOUNDSOURCE_PI_INDEX * 0x10 + 0x4) /**< PCM in: Current Index Value */
244#define PI_LVI (AC97SOUNDSOURCE_PI_INDEX * 0x10 + 0x5) /**< PCM in: Last Valid Index */
245#define PI_SR (AC97SOUNDSOURCE_PI_INDEX * 0x10 + 0x6) /**< PCM in: Status Register */
246#define PI_PICB (AC97SOUNDSOURCE_PI_INDEX * 0x10 + 0x8) /**< PCM in: Position in Current Buffer */
247#define PI_PIV (AC97SOUNDSOURCE_PI_INDEX * 0x10 + 0xa) /**< PCM in: Prefetched Index Value */
248#define PI_CR (AC97SOUNDSOURCE_PI_INDEX * 0x10 + 0xb) /**< PCM in: Control Register */
249/** @} */
250
251/** @name PCM out NABM BAR registers (0x10..0x1f).
252 * @{ */
253#define PO_BDBAR (AC97SOUNDSOURCE_PO_INDEX * 0x10 + 0x0) /**< PCM out: Buffer Descriptor Base Address */
254#define PO_CIV (AC97SOUNDSOURCE_PO_INDEX * 0x10 + 0x4) /**< PCM out: Current Index Value */
255#define PO_LVI (AC97SOUNDSOURCE_PO_INDEX * 0x10 + 0x5) /**< PCM out: Last Valid Index */
256#define PO_SR (AC97SOUNDSOURCE_PO_INDEX * 0x10 + 0x6) /**< PCM out: Status Register */
257#define PO_PICB (AC97SOUNDSOURCE_PO_INDEX * 0x10 + 0x8) /**< PCM out: Position in Current Buffer */
258#define PO_PIV (AC97SOUNDSOURCE_PO_INDEX * 0x10 + 0xa) /**< PCM out: Prefetched Index Value */
259#define PO_CR (AC97SOUNDSOURCE_PO_INDEX * 0x10 + 0xb) /**< PCM out: Control Register */
260/** @} */
261
262/** @name Mic in NABM BAR registers (0x20..0x2f).
263 * @{ */
264#define MC_BDBAR (AC97SOUNDSOURCE_MC_INDEX * 0x10 + 0x0) /**< PCM in: Buffer Descriptor Base Address */
265#define MC_CIV (AC97SOUNDSOURCE_MC_INDEX * 0x10 + 0x4) /**< PCM in: Current Index Value */
266#define MC_LVI (AC97SOUNDSOURCE_MC_INDEX * 0x10 + 0x5) /**< PCM in: Last Valid Index */
267#define MC_SR (AC97SOUNDSOURCE_MC_INDEX * 0x10 + 0x6) /**< PCM in: Status Register */
268#define MC_PICB (AC97SOUNDSOURCE_MC_INDEX * 0x10 + 0x8) /**< PCM in: Position in Current Buffer */
269#define MC_PIV (AC97SOUNDSOURCE_MC_INDEX * 0x10 + 0xa) /**< PCM in: Prefetched Index Value */
270#define MC_CR (AC97SOUNDSOURCE_MC_INDEX * 0x10 + 0xb) /**< PCM in: Control Register */
271/** @} */
272
273/** @name Misc NABM BAR registers.
274 * @{ */
275/** NABMBAR: Global Control Register.
276 * @note This is kind of in the MIC IN area. */
277#define AC97_GLOB_CNT 0x2c
278/** NABMBAR: Global Status. */
279#define AC97_GLOB_STA 0x30
280/** Codec Access Semaphore Register. */
281#define AC97_CAS 0x34
282/** @} */
283
284
285/*********************************************************************************************************************************
286* Structures and Typedefs *
287*********************************************************************************************************************************/
288/** The ICH AC'97 (Intel) controller. */
289typedef struct AC97STATE *PAC97STATE;
290
291/**
292 * Buffer Descriptor List Entry (BDLE).
293 */
294typedef struct AC97BDLE
295{
296 /** Location of data buffer (bits 31:1). */
297 uint32_t addr;
298 /** Flags (bits 31 + 30) and length (bits 15:0) of data buffer (in audio samples). */
299 uint32_t ctl_len;
300} AC97BDLE;
301AssertCompileSize(AC97BDLE, 8);
302/** Pointer to BDLE. */
303typedef AC97BDLE *PAC97BDLE;
304
305/**
306 * Bus master register set for an audio stream.
307 */
308typedef struct AC97BMREGS
309{
310 uint32_t bdbar; /**< rw 0, Buffer Descriptor List: BAR (Base Address Register). */
311 uint8_t civ; /**< ro 0, Current index value. */
312 uint8_t lvi; /**< rw 0, Last valid index. */
313 uint16_t sr; /**< rw 1, Status register. */
314 uint16_t picb; /**< ro 0, Position in current buffer (in samples). */
315 uint8_t piv; /**< ro 0, Prefetched index value. */
316 uint8_t cr; /**< rw 0, Control register. */
317 int32_t bd_valid; /**< Whether current BDLE is initialized or not. */
318 AC97BDLE bd; /**< Current Buffer Descriptor List Entry (BDLE). */
319} AC97BMREGS;
320AssertCompileSizeAlignment(AC97BMREGS, 8);
321/** Pointer to the BM registers of an audio stream. */
322typedef AC97BMREGS *PAC97BMREGS;
323
324#ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
325/**
326 * Asynchronous I/O state for an AC'97 stream.
327 */
328typedef struct AC97STREAMSTATEAIO
329{
330 /** Thread handle for the actual I/O thread. */
331 RTTHREAD Thread;
332 /** Event for letting the thread know there is some data to process. */
333 RTSEMEVENT Event;
334 /** Critical section for synchronizing access. */
335 RTCRITSECT CritSect;
336 /** Started indicator. */
337 volatile bool fStarted;
338 /** Shutdown indicator. */
339 volatile bool fShutdown;
340 /** Whether the thread should do any data processing or not. */
341 volatile bool fEnabled;
342 bool afPadding[5];
343} AC97STREAMSTATEAIO;
344/** Pointer to the async I/O state for an AC'97 stream. */
345typedef AC97STREAMSTATEAIO *PAC97STREAMSTATEAIO;
346#endif
347
348
349/**
350 * The internal state of an AC'97 stream.
351 */
352typedef struct AC97STREAMSTATE
353{
354 /** Criticial section for this stream. */
355 RTCRITSECT CritSect;
356 /** Circular buffer (FIFO) for holding DMA'ed data. */
357 R3PTRTYPE(PRTCIRCBUF) pCircBuf;
358#if HC_ARCH_BITS == 32
359 uint32_t Padding;
360#endif
361 /** The stream's current configuration. */
362 PDMAUDIOSTREAMCFG Cfg; //+108
363#ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
364 /** Asynchronous I/O state members. */
365 AC97STREAMSTATEAIO AIO;
366#endif
367 /** Timestamp of the last DMA data transfer. */
368 uint64_t tsTransferLast;
369 /** Timestamp of the next DMA data transfer.
370 * Next for determining the next scheduling window.
371 * Can be 0 if no next transfer is scheduled. */
372 uint64_t tsTransferNext;
373 /** Transfer chunk size (in bytes) of a transfer period. */
374 uint32_t cbTransferChunk;
375 /** The stream's timer Hz rate.
376 * This value can can be different from the device's default Hz rate,
377 * depending on the rate the stream expects (e.g. for 5.1 speaker setups).
378 * Set in R3StreamInit(). */
379 uint16_t uTimerHz;
380 uint8_t Padding3[2];
381 /** (Virtual) clock ticks per transfer. */
382 uint64_t cTransferTicks;
383 /** Timestamp (in ns) of last stream update. */
384 uint64_t tsLastUpdateNs;
385} AC97STREAMSTATE;
386AssertCompileSizeAlignment(AC97STREAMSTATE, 8);
387/** Pointer to internal state of an AC'97 stream. */
388typedef AC97STREAMSTATE *PAC97STREAMSTATE;
389
390/**
391 * Runtime configurable debug stuff for an AC'97 stream.
392 */
393typedef struct AC97STREAMDEBUGRT
394{
395 /** Whether debugging is enabled or not. */
396 bool fEnabled;
397 uint8_t Padding[7];
398 /** File for dumping stream reads / writes.
399 * For input streams, this dumps data being written to the device FIFO,
400 * whereas for output streams this dumps data being read from the device FIFO. */
401 R3PTRTYPE(PPDMAUDIOFILE) pFileStream;
402 /** File for dumping DMA reads / writes.
403 * For input streams, this dumps data being written to the device DMA,
404 * whereas for output streams this dumps data being read from the device DMA. */
405 R3PTRTYPE(PPDMAUDIOFILE) pFileDMA;
406} AC97STREAMDEBUGRT;
407
408/**
409 * Debug stuff for an AC'97 stream.
410 */
411typedef struct AC97STREAMDEBUG
412{
413 /** Runtime debug stuff. */
414 AC97STREAMDEBUGRT Runtime;
415} AC97STREAMDEBUG;
416
417/**
418 * The shared AC'97 stream state.
419 */
420typedef struct AC97STREAM
421{
422 /** Stream number (SDn). */
423 uint8_t u8SD;
424 uint8_t abPadding0[7];
425 /** Bus master registers of this stream. */
426 AC97BMREGS Regs;
427 /** The timer for pumping data thru the attached LUN drivers. */
428 TMTIMERHANDLE hTimer;
429} AC97STREAM;
430AssertCompileSizeAlignment(AC97STREAM, 8);
431/** Pointer to a shared AC'97 stream state. */
432typedef AC97STREAM *PAC97STREAM;
433
434
435/**
436 * The ring-3 AC'97 stream state.
437 */
438typedef struct AC97STREAMR3
439{
440 /** Stream number (SDn). */
441 uint8_t u8SD;
442 uint8_t abPadding0[7];
443 /** Internal state of this stream. */
444 AC97STREAMSTATE State;
445 /** Debug stuff. */
446 AC97STREAMDEBUG Dbg;
447} AC97STREAMR3;
448AssertCompileSizeAlignment(AC97STREAMR3, 8);
449/** Pointer to an AC'97 stream state for ring-3. */
450typedef AC97STREAMR3 *PAC97STREAMR3;
451
452
453#ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
454/**
455 * Asynchronous I/O thread context (arguments).
456 */
457typedef struct AC97STREAMTHREADCTX
458{
459 PAC97STATE pThis;
460 PAC97STREAM pStream;
461} AC97STREAMTHREADCTX;
462/** Pointer to the context for an async I/O thread. */
463typedef AC97STREAMTHREADCTX *PAC97STREAMTHREADCTX;
464#endif
465
466/**
467 * A driver stream (host backend).
468 *
469 * Each driver has its own instances of audio mixer streams, which then
470 * can go into the same (or even different) audio mixer sinks.
471 */
472typedef struct AC97DRIVERSTREAM
473{
474 /** Associated mixer stream handle. */
475 R3PTRTYPE(PAUDMIXSTREAM) pMixStrm;
476} AC97DRIVERSTREAM;
477/** Pointer to a driver stream. */
478typedef AC97DRIVERSTREAM *PAC97DRIVERSTREAM;
479
480/**
481 * A host backend driver (LUN).
482 */
483typedef struct AC97DRIVER
484{
485 /** Node for storing this driver in our device driver list of AC97STATE. */
486 RTLISTNODER3 Node;
487 /** Driver flags. */
488 PDMAUDIODRVFLAGS fFlags;
489 /** LUN # to which this driver has been assigned. */
490 uint8_t uLUN;
491 /** Whether this driver is in an attached state or not. */
492 bool fAttached;
493 uint8_t abPadding[2];
494 /** Pointer to the description string passed to PDMDevHlpDriverAttach(). */
495 R3PTRTYPE(char *) pszDesc;
496 /** Pointer to attached driver base interface. */
497 R3PTRTYPE(PPDMIBASE) pDrvBase;
498 /** Audio connector interface to the underlying host backend. */
499 R3PTRTYPE(PPDMIAUDIOCONNECTOR) pConnector;
500 /** Driver stream for line input. */
501 AC97DRIVERSTREAM LineIn;
502 /** Driver stream for mic input. */
503 AC97DRIVERSTREAM MicIn;
504 /** Driver stream for output. */
505 AC97DRIVERSTREAM Out;
506} AC97DRIVER;
507/** Pointer to a host backend driver (LUN). */
508typedef AC97DRIVER *PAC97DRIVER;
509
510/**
511 * Debug settings.
512 */
513typedef struct AC97STATEDEBUG
514{
515 /** Whether debugging is enabled or not. */
516 bool fEnabled;
517 bool afAlignment[7];
518 /** Path where to dump the debug output to.
519 * Defaults to VBOX_AUDIO_DEBUG_DUMP_PCM_DATA_PATH. */
520 R3PTRTYPE(char *) pszOutPath;
521} AC97STATEDEBUG;
522
523
524/* Codec models. */
525typedef enum AC97CODEC
526{
527 AC97CODEC_INVALID = 0, /**< Customary illegal zero value. */
528 AC97CODEC_STAC9700, /**< SigmaTel STAC9700 */
529 AC97CODEC_AD1980, /**< Analog Devices AD1980 */
530 AC97CODEC_AD1981B, /**< Analog Devices AD1981B */
531 AC97CODEC_32BIT_HACK = 0x7fffffff
532} AC97CODEC;
533
534
535/**
536 * The shared AC'97 device state.
537 */
538typedef struct AC97STATE
539{
540 /** Critical section protecting the AC'97 state. */
541 PDMCRITSECT CritSect;
542 /** Global Control (Bus Master Control Register). */
543 uint32_t glob_cnt;
544 /** Global Status (Bus Master Control Register). */
545 uint32_t glob_sta;
546 /** Codec Access Semaphore Register (Bus Master Control Register). */
547 uint32_t cas;
548 uint32_t last_samp;
549 uint8_t mixer_data[256];
550 /** Array of AC'97 streams (parallel to AC97STATER3::aStreams). */
551 AC97STREAM aStreams[AC97_MAX_STREAMS];
552 /** The device timer Hz rate. Defaults to AC97_TIMER_HZ_DEFAULT_DEFAULT. */
553 uint16_t uTimerHz;
554 uint16_t au16Padding1[3];
555 uint8_t silence[128];
556 uint32_t bup_flag;
557 /** Codec model. */
558 AC97CODEC enmCodecModel;
559
560 /** PCI region \#0: NAM I/O ports. */
561 IOMIOPORTHANDLE hIoPortsNam;
562 /** PCI region \#0: NANM I/O ports. */
563 IOMIOPORTHANDLE hIoPortsNabm;
564
565 STAMCOUNTER StatUnimplementedNabmReads;
566 STAMCOUNTER StatUnimplementedNabmWrites;
567#ifdef VBOX_WITH_STATISTICS
568 STAMPROFILE StatTimer;
569 STAMPROFILE StatIn;
570 STAMPROFILE StatOut;
571 STAMCOUNTER StatBytesRead;
572 STAMCOUNTER StatBytesWritten;
573#endif
574} AC97STATE;
575AssertCompileMemberAlignment(AC97STATE, aStreams, 8);
576AssertCompileMemberAlignment(AC97STATE, StatUnimplementedNabmReads, 8);
577#ifdef VBOX_WITH_STATISTICS
578AssertCompileMemberAlignment(AC97STATE, StatTimer, 8);
579AssertCompileMemberAlignment(AC97STATE, StatBytesRead, 8);
580AssertCompileMemberAlignment(AC97STATE, StatBytesWritten, 8);
581#endif
582
583
584/**
585 * The ring-3 AC'97 device state.
586 */
587typedef struct AC97STATER3
588{
589 /** Array of AC'97 streams (parallel to AC97STATE:aStreams). */
590 AC97STREAMR3 aStreams[AC97_MAX_STREAMS];
591 /** R3 pointer to the device instance. */
592 PPDMDEVINSR3 pDevIns;
593 /** List of associated LUN drivers (AC97DRIVER). */
594 RTLISTANCHORR3 lstDrv;
595 /** The device's software mixer. */
596 R3PTRTYPE(PAUDIOMIXER) pMixer;
597 /** Audio sink for PCM output. */
598 R3PTRTYPE(PAUDMIXSINK) pSinkOut;
599 /** Audio sink for line input. */
600 R3PTRTYPE(PAUDMIXSINK) pSinkLineIn;
601 /** Audio sink for microphone input. */
602 R3PTRTYPE(PAUDMIXSINK) pSinkMicIn;
603 /** The base interface for LUN\#0. */
604 PDMIBASE IBase;
605 /** Debug settings. */
606 AC97STATEDEBUG Dbg;
607} AC97STATER3;
608AssertCompileMemberAlignment(AC97STATER3, aStreams, 8);
609/** Pointer to the ring-3 AC'97 device state. */
610typedef AC97STATER3 *PAC97STATER3;
611
612
613/**
614 * Acquires the AC'97 lock.
615 */
616#define DEVAC97_LOCK(a_pDevIns, a_pThis) \
617 do { \
618 int rcLock = PDMDevHlpCritSectEnter((a_pDevIns), &(a_pThis)->CritSect, VERR_IGNORED); \
619 AssertRC(rcLock); \
620 } while (0)
621
622/**
623 * Acquires the AC'97 lock or returns.
624 */
625# define DEVAC97_LOCK_RETURN(a_pDevIns, a_pThis, a_rcBusy) \
626 do { \
627 int rcLock = PDMDevHlpCritSectEnter((a_pDevIns), &(a_pThis)->CritSect, a_rcBusy); \
628 if (rcLock == VINF_SUCCESS) \
629 break; \
630 AssertRC(rcLock); \
631 return rcLock; \
632 } while (0)
633
634/** Retrieves an attribute from a specific audio stream in RC. */
635#define DEVAC97_CTX_SUFF_SD(a_Var, a_SD) CTX_SUFF(a_Var)[a_SD]
636
637/**
638 * Releases the AC'97 lock.
639 */
640#define DEVAC97_UNLOCK(a_pDevIns, a_pThis) \
641 do { PDMDevHlpCritSectLeave((a_pDevIns), &(a_pThis)->CritSect); } while (0)
642
643/**
644 * Acquires the TM lock and AC'97 lock, returns on failure.
645 */
646#define DEVAC97_LOCK_BOTH_RETURN(a_pDevIns, a_pThis, a_pStream, a_rcBusy) \
647 do { \
648 VBOXSTRICTRC rcLock = PDMDevHlpTimerLockClock2((a_pDevIns), (a_pStream)->hTimer, &(a_pThis)->CritSect, (a_rcBusy)); \
649 if (RT_LIKELY(rcLock == VINF_SUCCESS)) \
650 { /* likely */ } \
651 else \
652 { \
653 AssertRC(VBOXSTRICTRC_VAL(rcLock)); \
654 return rcLock; \
655 } \
656 } while (0)
657
658/**
659 * Releases the AC'97 lock and TM lock.
660 */
661#define DEVAC97_UNLOCK_BOTH(a_pDevIns, a_pThis, a_pStream) \
662 PDMDevHlpTimerUnlockClock2((a_pDevIns), (a_pStream)->hTimer, &(a_pThis)->CritSect)
663
664#ifndef VBOX_DEVICE_STRUCT_TESTCASE
665
666
667/*********************************************************************************************************************************
668* Internal Functions *
669*********************************************************************************************************************************/
670#ifdef IN_RING3
671static int ichac97R3StreamOpen(PAC97STATE pThis, PAC97STATER3 pThisCC, PAC97STREAM pStream, PAC97STREAMR3 pStreamCC, bool fForce);
672static int ichac97R3StreamClose(PAC97STREAM pStream);
673static void ichac97R3StreamLock(PAC97STREAMR3 pStreamCC);
674static void ichac97R3StreamUnlock(PAC97STREAMR3 pStreamCC);
675static uint32_t ichac97R3StreamGetUsed(PAC97STREAMR3 pStreamCC);
676static uint32_t ichac97R3StreamGetFree(PAC97STREAMR3 pStreamCC);
677static int ichac97R3StreamTransfer(PPDMDEVINS pDevIns, PAC97STATE pThis, PAC97STREAM pStream,
678 PAC97STREAMR3 pStreamCC, uint32_t cbToProcessMax);
679static void ichac97R3StreamUpdate(PPDMDEVINS pDevIns, PAC97STATE pThis, PAC97STATER3 pThisCC, PAC97STREAM pStream,
680 PAC97STREAMR3 pStreamCC, bool fInTimer);
681
682static DECLCALLBACK(void) ichac97R3Reset(PPDMDEVINS pDevIns);
683
684static DECLCALLBACK(void) ichac97R3Timer(PPDMDEVINS pDevIns, PTMTIMER pTimer, void *pvUser);
685
686static void ichac97R3MixerRemoveDrvStreams(PAC97STATER3 pThisCC, PAUDMIXSINK pMixSink, PDMAUDIODIR enmDir,
687 PDMAUDIODSTSRCUNION dstSrc);
688
689# ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
690static int ichac97R3StreamAsyncIOCreate(PAC97STATE pThis, PAC97STREAM pStream);
691static int ichac97R3StreamAsyncIODestroy(PAC97STATE pThis, PAC97STREAM pStream);
692static void ichac97R3StreamAsyncIOLock(PAC97STREAM pStream);
693static void ichac97R3StreamAsyncIOUnlock(PAC97STREAM pStream);
694/*static void ichac97R3StreamAsyncIOEnable(PAC97STREAM pStream, bool fEnable); Unused */
695# endif
696
697DECLINLINE(PDMAUDIODIR) ichac97GetDirFromSD(uint8_t uSD);
698DECLINLINE(void) ichac97R3TimerSet(PPDMDEVINS pDevIns, PAC97STREAM pStream, uint64_t cTicksToDeadline);
699#endif /* IN_RING3 */
700
701
702/*********************************************************************************************************************************
703* Global Variables *
704*********************************************************************************************************************************/
705#ifdef IN_RING3
706/** NABM I/O port descriptions. */
707static const IOMIOPORTDESC g_aNabmPorts[] =
708{
709 { "PCM IN - BDBAR", "PCM IN - BDBAR", NULL, NULL },
710 { "", NULL, NULL, NULL },
711 { "", NULL, NULL, NULL },
712 { "", NULL, NULL, NULL },
713 { "PCM IN - CIV", "PCM IN - CIV", NULL, NULL },
714 { "PCM IN - LVI", "PCM IN - LIV", NULL, NULL },
715 { "PCM IN - SR", "PCM IN - SR", NULL, NULL },
716 { "", NULL, NULL, NULL },
717 { "PCM IN - PICB", "PCM IN - PICB", NULL, NULL },
718 { "", NULL, NULL, NULL },
719 { "PCM IN - PIV", "PCM IN - PIV", NULL, NULL },
720 { "PCM IN - CR", "PCM IN - CR", NULL, NULL },
721 { "", NULL, NULL, NULL },
722 { "", NULL, NULL, NULL },
723 { "", NULL, NULL, NULL },
724 { "", NULL, NULL, NULL },
725
726 { "PCM OUT - BDBAR", "PCM OUT - BDBAR", NULL, NULL },
727 { "", NULL, NULL, NULL },
728 { "", NULL, NULL, NULL },
729 { "", NULL, NULL, NULL },
730 { "PCM OUT - CIV", "PCM OUT - CIV", NULL, NULL },
731 { "PCM OUT - LVI", "PCM OUT - LIV", NULL, NULL },
732 { "PCM OUT - SR", "PCM OUT - SR", NULL, NULL },
733 { "", NULL, NULL, NULL },
734 { "PCM OUT - PICB", "PCM OUT - PICB", NULL, NULL },
735 { "", NULL, NULL, NULL },
736 { "PCM OUT - PIV", "PCM OUT - PIV", NULL, NULL },
737 { "PCM OUT - CR", "PCM IN - CR", NULL, NULL },
738 { "", NULL, NULL, NULL },
739 { "", NULL, NULL, NULL },
740 { "", NULL, NULL, NULL },
741 { "", NULL, NULL, NULL },
742
743 { "MIC IN - BDBAR", "MIC IN - BDBAR", NULL, NULL },
744 { "", NULL, NULL, NULL },
745 { "", NULL, NULL, NULL },
746 { "", NULL, NULL, NULL },
747 { "MIC IN - CIV", "MIC IN - CIV", NULL, NULL },
748 { "MIC IN - LVI", "MIC IN - LIV", NULL, NULL },
749 { "MIC IN - SR", "MIC IN - SR", NULL, NULL },
750 { "", NULL, NULL, NULL },
751 { "MIC IN - PICB", "MIC IN - PICB", NULL, NULL },
752 { "", NULL, NULL, NULL },
753 { "MIC IN - PIV", "MIC IN - PIV", NULL, NULL },
754 { "MIC IN - CR", "MIC IN - CR", NULL, NULL },
755 { "GLOB CNT", "GLOB CNT", NULL, NULL },
756 { "", NULL, NULL, NULL },
757 { "", NULL, NULL, NULL },
758 { "", NULL, NULL, NULL },
759
760 { "GLOB STA", "GLOB STA", NULL, NULL },
761 { "", NULL, NULL, NULL },
762 { "", NULL, NULL, NULL },
763 { "", NULL, NULL, NULL },
764 { "CAS", "CAS", NULL, NULL },
765 { NULL, NULL, NULL, NULL },
766};
767
768#define AC97SOUNDSOURCE_PI_INDEX 0 /**< PCM in */
769#define AC97SOUNDSOURCE_PO_INDEX 1 /**< PCM out */
770#define AC97SOUNDSOURCE_MC_INDEX 2 /**< Mic in */
771#define AC97SOUNDSOURCE_MAX 3 /**< Max sound sources. */
772/** @} */
773
774/** Port number (offset into NABM BAR) to stream index. */
775#define AC97_PORT2IDX(a_idx) ( ((a_idx) >> 4) & 3 )
776/** Port number (offset into NABM BAR) to stream index, but no masking. */
777#define AC97_PORT2IDX_UNMASKED(a_idx) ( ((a_idx) >> 4) )
778
779/** @name Stream offsets
780 * @{ */
781#define AC97_NABM_OFF_BDBAR 0x0 /**< Buffer Descriptor Base Address */
782#define AC97_NABM_OFF_CIV 0x4 /**< Current Index Value */
783#define AC97_NABM_OFF_LVI 0x5 /**< Last Valid Index */
784#define AC97_NABM_OFF_SR 0x6 /**< Status Register */
785#define AC97_NABM_OFF_PICB 0x8 /**< Position in Current Buffer */
786#define AC97_NABM_OFF_PIV 0xa /**< Prefetched Index Value */
787#define AC97_NABM_OFF_CR 0xb /**< Control Register */
788#define AC97_NABM_OFF_MASK 0xf /**< Mask for getting the the per-stream register. */
789
790#endif
791
792
793
794static void ichac97WarmReset(PAC97STATE pThis)
795{
796 NOREF(pThis);
797}
798
799static void ichac97ColdReset(PAC97STATE pThis)
800{
801 NOREF(pThis);
802}
803
804
805#ifdef IN_RING3
806
807/**
808 * Retrieves the audio mixer sink of a corresponding AC'97 stream index.
809 *
810 * @returns Pointer to audio mixer sink if found, or NULL if not found / invalid.
811 * @param pThisCC The ring-3 AC'97 state.
812 * @param uIndex Stream index to get audio mixer sink for.
813 */
814DECLINLINE(PAUDMIXSINK) ichac97R3IndexToSink(PAC97STATER3 pThisCC, uint8_t uIndex)
815{
816 switch (uIndex)
817 {
818 case AC97SOUNDSOURCE_PI_INDEX: return pThisCC->pSinkLineIn;
819 case AC97SOUNDSOURCE_PO_INDEX: return pThisCC->pSinkOut;
820 case AC97SOUNDSOURCE_MC_INDEX: return pThisCC->pSinkMicIn;
821 default:
822 AssertMsgFailedReturn(("Wrong index %RU8\n", uIndex), NULL);
823 }
824}
825
826/**
827 * Fetches the current BDLE (Buffer Descriptor List Entry) of an AC'97 audio stream.
828 *
829 * @returns IPRT status code.
830 * @param pDevIns The device instance.
831 * @param pStream AC'97 stream to fetch BDLE for.
832 *
833 * @remark Uses CIV as BDLE index.
834 */
835static void ichac97R3StreamFetchBDLE(PPDMDEVINS pDevIns, PAC97STREAM pStream)
836{
837 PAC97BMREGS pRegs = &pStream->Regs;
838
839 AC97BDLE BDLE;
840 PDMDevHlpPhysRead(pDevIns, pRegs->bdbar + pRegs->civ * sizeof(AC97BDLE), &BDLE, sizeof(AC97BDLE));
841 pRegs->bd_valid = 1;
842# ifndef RT_LITTLE_ENDIAN
843# error "Please adapt the code (audio buffers are little endian)!"
844# else
845 pRegs->bd.addr = RT_H2LE_U32(BDLE.addr & ~3);
846 pRegs->bd.ctl_len = RT_H2LE_U32(BDLE.ctl_len);
847# endif
848 pRegs->picb = pRegs->bd.ctl_len & AC97_BD_LEN_MASK;
849 LogFlowFunc(("bd %2d addr=%#x ctl=%#06x len=%#x(%d bytes), bup=%RTbool, ioc=%RTbool\n",
850 pRegs->civ, pRegs->bd.addr, pRegs->bd.ctl_len >> 16,
851 pRegs->bd.ctl_len & AC97_BD_LEN_MASK,
852 (pRegs->bd.ctl_len & AC97_BD_LEN_MASK) << 1, /** @todo r=andy Assumes 16bit samples. */
853 RT_BOOL(pRegs->bd.ctl_len & AC97_BD_BUP),
854 RT_BOOL(pRegs->bd.ctl_len & AC97_BD_IOC)));
855}
856
857#endif /* IN_RING3 */
858
859/**
860 * Updates the status register (SR) of an AC'97 audio stream.
861 *
862 * @param pDevIns The device instance.
863 * @param pThis The shared AC'97 state.
864 * @param pStream AC'97 stream to update SR for.
865 * @param new_sr New value for status register (SR).
866 */
867static void ichac97StreamUpdateSR(PPDMDEVINS pDevIns, PAC97STATE pThis, PAC97STREAM pStream, uint32_t new_sr)
868{
869 PAC97BMREGS pRegs = &pStream->Regs;
870
871 bool fSignal = false;
872 int iIRQL = 0;
873
874 uint32_t new_mask = new_sr & AC97_SR_INT_MASK;
875 uint32_t old_mask = pRegs->sr & AC97_SR_INT_MASK;
876
877 if (new_mask ^ old_mask)
878 {
879 /** @todo Is IRQ deasserted when only one of status bits is cleared? */
880 if (!new_mask)
881 {
882 fSignal = true;
883 iIRQL = 0;
884 }
885 else if ((new_mask & AC97_SR_LVBCI) && (pRegs->cr & AC97_CR_LVBIE))
886 {
887 fSignal = true;
888 iIRQL = 1;
889 }
890 else if ((new_mask & AC97_SR_BCIS) && (pRegs->cr & AC97_CR_IOCE))
891 {
892 fSignal = true;
893 iIRQL = 1;
894 }
895 }
896
897 pRegs->sr = new_sr;
898
899 LogFlowFunc(("IOC%d, LVB%d, sr=%#x, fSignal=%RTbool, IRQL=%d\n",
900 pRegs->sr & AC97_SR_BCIS, pRegs->sr & AC97_SR_LVBCI, pRegs->sr, fSignal, iIRQL));
901
902 if (fSignal)
903 {
904 static uint32_t const s_aMasks[] = { AC97_GS_PIINT, AC97_GS_POINT, AC97_GS_MINT };
905 Assert(pStream->u8SD < AC97_MAX_STREAMS);
906 if (iIRQL)
907 pThis->glob_sta |= s_aMasks[pStream->u8SD];
908 else
909 pThis->glob_sta &= ~s_aMasks[pStream->u8SD];
910
911 LogFlowFunc(("Setting IRQ level=%d\n", iIRQL));
912 PDMDevHlpPCISetIrq(pDevIns, 0, iIRQL);
913 }
914}
915
916/**
917 * Writes a new value to a stream's status register (SR).
918 *
919 * @param pDevIns The device instance.
920 * @param pThis The shared AC'97 device state.
921 * @param pStream Stream to update SR for.
922 * @param u32Val New value to set the stream's SR to.
923 */
924static void ichac97StreamWriteSR(PPDMDEVINS pDevIns, PAC97STATE pThis, PAC97STREAM pStream, uint32_t u32Val)
925{
926 PAC97BMREGS pRegs = &pStream->Regs;
927
928 Log3Func(("[SD%RU8] SR <- %#x (sr %#x)\n", pStream->u8SD, u32Val, pRegs->sr));
929
930 pRegs->sr |= u32Val & ~(AC97_SR_RO_MASK | AC97_SR_WCLEAR_MASK);
931 ichac97StreamUpdateSR(pDevIns, pThis, pStream, pRegs->sr & ~(u32Val & AC97_SR_WCLEAR_MASK));
932}
933
934#ifdef IN_RING3
935
936/**
937 * Returns whether an AC'97 stream is enabled or not.
938 *
939 * @returns IPRT status code.
940 * @param pThisCC The ring-3 AC'97 device state.
941 * @param pStream Stream to return status for.
942 */
943static bool ichac97R3StreamIsEnabled(PAC97STATER3 pThisCC, PAC97STREAM pStream)
944{
945 PAUDMIXSINK pSink = ichac97R3IndexToSink(pThisCC, pStream->u8SD);
946 bool fIsEnabled = RT_BOOL(AudioMixerSinkGetStatus(pSink) & AUDMIXSINK_STS_RUNNING);
947
948 LogFunc(("[SD%RU8] fIsEnabled=%RTbool\n", pStream->u8SD, fIsEnabled));
949 return fIsEnabled;
950}
951
952/**
953 * Enables or disables an AC'97 audio stream.
954 *
955 * @returns IPRT status code.
956 * @param pThis The shared AC'97 state.
957 * @param pThisCC The ring-3 AC'97 state.
958 * @param pStream The AC'97 stream to enable or disable (shared
959 * state).
960 * @param pStreamCC The ring-3 stream state (matching to @a pStream).
961 * @param fEnable Whether to enable or disable the stream.
962 *
963 */
964static int ichac97R3StreamEnable(PAC97STATE pThis, PAC97STATER3 pThisCC,
965 PAC97STREAM pStream, PAC97STREAMR3 pStreamCC, bool fEnable)
966{
967 ichac97R3StreamLock(pStreamCC);
968
969 int rc = VINF_SUCCESS;
970
971# ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
972 if (fEnable)
973 rc = ichac97R3StreamAsyncIOCreate(pThis, pStream);
974 if (RT_SUCCESS(rc))
975 ichac97R3StreamAsyncIOLock(pStream);
976# endif
977
978 if (fEnable)
979 {
980 if (pStreamCC->State.pCircBuf)
981 RTCircBufReset(pStreamCC->State.pCircBuf);
982
983 rc = ichac97R3StreamOpen(pThis, pThisCC, pStream, pStreamCC, false /* fForce */);
984
985 if (RT_LIKELY(!pStreamCC->Dbg.Runtime.fEnabled))
986 { /* likely */ }
987 else
988 {
989 if (!DrvAudioHlpFileIsOpen(pStreamCC->Dbg.Runtime.pFileStream))
990 {
991 int rc2 = DrvAudioHlpFileOpen(pStreamCC->Dbg.Runtime.pFileStream, PDMAUDIOFILE_DEFAULT_OPEN_FLAGS,
992 &pStreamCC->State.Cfg.Props);
993 AssertRC(rc2);
994 }
995
996 if (!DrvAudioHlpFileIsOpen(pStreamCC->Dbg.Runtime.pFileDMA))
997 {
998 int rc2 = DrvAudioHlpFileOpen(pStreamCC->Dbg.Runtime.pFileDMA, PDMAUDIOFILE_DEFAULT_OPEN_FLAGS,
999 &pStreamCC->State.Cfg.Props);
1000 AssertRC(rc2);
1001 }
1002 }
1003 }
1004 else
1005 rc = ichac97R3StreamClose(pStream);
1006
1007 if (RT_SUCCESS(rc))
1008 {
1009 /* First, enable or disable the stream and the stream's sink, if any. */
1010 rc = AudioMixerSinkCtl(ichac97R3IndexToSink(pThisCC, pStream->u8SD),
1011 fEnable ? AUDMIXSINKCMD_ENABLE : AUDMIXSINKCMD_DISABLE);
1012 }
1013
1014# ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
1015 ichac97R3StreamAsyncIOUnlock(pStream);
1016# endif
1017
1018 /* Make sure to leave the lock before (eventually) starting the timer. */
1019 ichac97R3StreamUnlock(pStreamCC);
1020
1021 LogFunc(("[SD%RU8] fEnable=%RTbool, rc=%Rrc\n", pStream->u8SD, fEnable, rc));
1022 return rc;
1023}
1024
1025/**
1026 * Resets an AC'97 stream.
1027 *
1028 * @param pThis The shared AC'97 state.
1029 * @param pStream The AC'97 stream to reset (shared).
1030 * @param pStreamCC The AC'97 stream to reset (ring-3).
1031 */
1032static void ichac97R3StreamReset(PAC97STATE pThis, PAC97STREAM pStream, PAC97STREAMR3 pStreamCC)
1033{
1034 ichac97R3StreamLock(pStreamCC);
1035
1036 LogFunc(("[SD%RU8]\n", pStream->u8SD));
1037
1038 if (pStreamCC->State.pCircBuf)
1039 RTCircBufReset(pStreamCC->State.pCircBuf);
1040
1041 PAC97BMREGS pRegs = &pStream->Regs;
1042
1043 pRegs->bdbar = 0;
1044 pRegs->civ = 0;
1045 pRegs->lvi = 0;
1046
1047 pRegs->picb = 0;
1048 pRegs->piv = 0;
1049 pRegs->cr = pRegs->cr & AC97_CR_DONT_CLEAR_MASK;
1050 pRegs->bd_valid = 0;
1051
1052 RT_ZERO(pThis->silence);
1053
1054 ichac97R3StreamUnlock(pStreamCC);
1055}
1056
1057/**
1058 * Creates an AC'97 audio stream.
1059 *
1060 * @returns IPRT status code.
1061 * @param pThisCC The ring-3 AC'97 state.
1062 * @param pStream The AC'97 stream to create (shared).
1063 * @param pStreamCC The AC'97 stream to create (ring-3).
1064 * @param u8SD Stream descriptor number to assign.
1065 */
1066static int ichac97R3StreamCreate(PAC97STATER3 pThisCC, PAC97STREAM pStream, PAC97STREAMR3 pStreamCC, uint8_t u8SD)
1067{
1068 LogFunc(("[SD%RU8] pStream=%p\n", u8SD, pStream));
1069
1070 AssertReturn(u8SD < AC97_MAX_STREAMS, VERR_INVALID_PARAMETER);
1071 pStream->u8SD = u8SD;
1072 pStreamCC->u8SD = u8SD;
1073
1074 int rc = RTCritSectInit(&pStreamCC->State.CritSect);
1075 AssertRCReturn(rc, rc);
1076
1077 pStreamCC->Dbg.Runtime.fEnabled = pThisCC->Dbg.fEnabled;
1078
1079 if (RT_LIKELY(!pStreamCC->Dbg.Runtime.fEnabled))
1080 { /* likely */ }
1081 else
1082 {
1083 char szFile[64];
1084
1085 if (ichac97GetDirFromSD(pStream->u8SD) == PDMAUDIODIR_IN)
1086 RTStrPrintf(szFile, sizeof(szFile), "ac97StreamWriteSD%RU8", pStream->u8SD);
1087 else
1088 RTStrPrintf(szFile, sizeof(szFile), "ac97StreamReadSD%RU8", pStream->u8SD);
1089
1090 char szPath[RTPATH_MAX];
1091 int rc2 = DrvAudioHlpFileNameGet(szPath, sizeof(szPath), pThisCC->Dbg.pszOutPath, szFile,
1092 0 /* uInst */, PDMAUDIOFILETYPE_WAV, PDMAUDIOFILENAME_FLAGS_NONE);
1093 AssertRC(rc2);
1094 rc2 = DrvAudioHlpFileCreate(PDMAUDIOFILETYPE_WAV, szPath, PDMAUDIOFILE_FLAGS_NONE, &pStreamCC->Dbg.Runtime.pFileStream);
1095 AssertRC(rc2);
1096
1097 if (ichac97GetDirFromSD(pStream->u8SD) == PDMAUDIODIR_IN)
1098 RTStrPrintf(szFile, sizeof(szFile), "ac97DMAWriteSD%RU8", pStream->u8SD);
1099 else
1100 RTStrPrintf(szFile, sizeof(szFile), "ac97DMAReadSD%RU8", pStream->u8SD);
1101
1102 rc2 = DrvAudioHlpFileNameGet(szPath, sizeof(szPath), pThisCC->Dbg.pszOutPath, szFile,
1103 0 /* uInst */, PDMAUDIOFILETYPE_WAV, PDMAUDIOFILENAME_FLAGS_NONE);
1104 AssertRC(rc2);
1105
1106 rc2 = DrvAudioHlpFileCreate(PDMAUDIOFILETYPE_WAV, szPath, PDMAUDIOFILE_FLAGS_NONE, &pStreamCC->Dbg.Runtime.pFileDMA);
1107 AssertRC(rc2);
1108
1109 /* Delete stale debugging files from a former run. */
1110 DrvAudioHlpFileDelete(pStreamCC->Dbg.Runtime.pFileStream);
1111 DrvAudioHlpFileDelete(pStreamCC->Dbg.Runtime.pFileDMA);
1112 }
1113
1114 return rc;
1115}
1116
1117/**
1118 * Destroys an AC'97 audio stream.
1119 *
1120 * @returns IPRT status code.
1121 * @param pThis The shared AC'97 state.
1122 * @param pStream The AC'97 stream to destroy (shared).
1123 * @param pStreamCC The AC'97 stream to destroy (ring-3).
1124 */
1125static void ichac97R3StreamDestroy(PAC97STATE pThis, PAC97STREAM pStream, PAC97STREAMR3 pStreamCC)
1126{
1127 LogFlowFunc(("[SD%RU8]\n", pStream->u8SD));
1128
1129 ichac97R3StreamClose(pStream);
1130
1131 int rc2 = RTCritSectDelete(&pStreamCC->State.CritSect);
1132 AssertRC(rc2);
1133
1134# ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
1135 rc2 = ichac97R3StreamAsyncIODestroy(pThis, pStream);
1136 AssertRC(rc2);
1137# else
1138 RT_NOREF(pThis);
1139# endif
1140
1141 if (RT_LIKELY(!pStreamCC->Dbg.Runtime.fEnabled))
1142 { /* likely */ }
1143 else
1144 {
1145 DrvAudioHlpFileDestroy(pStreamCC->Dbg.Runtime.pFileStream);
1146 pStreamCC->Dbg.Runtime.pFileStream = NULL;
1147
1148 DrvAudioHlpFileDestroy(pStreamCC->Dbg.Runtime.pFileDMA);
1149 pStreamCC->Dbg.Runtime.pFileDMA = NULL;
1150 }
1151
1152 if (pStreamCC->State.pCircBuf)
1153 {
1154 RTCircBufDestroy(pStreamCC->State.pCircBuf);
1155 pStreamCC->State.pCircBuf = NULL;
1156 }
1157
1158 LogFlowFuncLeave();
1159}
1160
1161/**
1162 * Destroys all AC'97 audio streams of the device.
1163 *
1164 * @param pThis The shared AC'97 state.
1165 * @param pThisCC The ring-3 AC'97 state.
1166 */
1167static void ichac97R3StreamsDestroy(PAC97STATE pThis, PAC97STATER3 pThisCC)
1168{
1169 LogFlowFuncEnter();
1170
1171 /*
1172 * Destroy all AC'97 streams.
1173 */
1174 for (unsigned i = 0; i < AC97_MAX_STREAMS; i++)
1175 ichac97R3StreamDestroy(pThis, &pThis->aStreams[i], &pThisCC->aStreams[i]);
1176
1177 /*
1178 * Destroy all sinks.
1179 */
1180
1181 PDMAUDIODSTSRCUNION dstSrc;
1182 if (pThisCC->pSinkLineIn)
1183 {
1184 dstSrc.enmSrc = PDMAUDIORECSRC_LINE;
1185 ichac97R3MixerRemoveDrvStreams(pThisCC, pThisCC->pSinkLineIn, PDMAUDIODIR_IN, dstSrc);
1186
1187 AudioMixerSinkDestroy(pThisCC->pSinkLineIn);
1188 pThisCC->pSinkLineIn = NULL;
1189 }
1190
1191 if (pThisCC->pSinkMicIn)
1192 {
1193 dstSrc.enmSrc = PDMAUDIORECSRC_MIC;
1194 ichac97R3MixerRemoveDrvStreams(pThisCC, pThisCC->pSinkMicIn, PDMAUDIODIR_IN, dstSrc);
1195
1196 AudioMixerSinkDestroy(pThisCC->pSinkMicIn);
1197 pThisCC->pSinkMicIn = NULL;
1198 }
1199
1200 if (pThisCC->pSinkOut)
1201 {
1202 dstSrc.enmDst = PDMAUDIOPLAYBACKDST_FRONT;
1203 ichac97R3MixerRemoveDrvStreams(pThisCC, pThisCC->pSinkOut, PDMAUDIODIR_OUT, dstSrc);
1204
1205 AudioMixerSinkDestroy(pThisCC->pSinkOut);
1206 pThisCC->pSinkOut = NULL;
1207 }
1208}
1209
1210/**
1211 * Writes audio data from a mixer sink into an AC'97 stream's DMA buffer.
1212 *
1213 * @returns IPRT status code.
1214 * @param pDstStreamCC The AC'97 stream to write to (ring-3).
1215 * @param pSrcMixSink Mixer sink to get audio data to write from.
1216 * @param cbToWrite Number of bytes to write.
1217 * @param pcbWritten Number of bytes written. Optional.
1218 */
1219static int ichac97R3StreamWrite(PAC97STREAMR3 pDstStreamCC, PAUDMIXSINK pSrcMixSink, uint32_t cbToWrite, uint32_t *pcbWritten)
1220{
1221 AssertPtrReturn(pSrcMixSink, VERR_INVALID_POINTER);
1222 AssertReturn(cbToWrite > 0, VERR_INVALID_PARAMETER);
1223 /* pcbWritten is optional. */
1224
1225 PRTCIRCBUF pCircBuf = pDstStreamCC->State.pCircBuf;
1226 AssertPtr(pCircBuf);
1227
1228 uint32_t cbRead = 0;
1229
1230 void *pvDst;
1231 size_t cbDst;
1232 RTCircBufAcquireWriteBlock(pCircBuf, cbToWrite, &pvDst, &cbDst);
1233
1234 if (cbDst)
1235 {
1236 int rc2 = AudioMixerSinkRead(pSrcMixSink, AUDMIXOP_COPY, pvDst, (uint32_t)cbDst, &cbRead);
1237 AssertRC(rc2);
1238
1239 if (RT_LIKELY(!pDstStreamCC->Dbg.Runtime.fEnabled))
1240 { /* likely */ }
1241 else
1242 DrvAudioHlpFileWrite(pDstStreamCC->Dbg.Runtime.pFileStream, pvDst, cbRead, 0 /* fFlags */);
1243 }
1244
1245 RTCircBufReleaseWriteBlock(pCircBuf, cbRead);
1246
1247 if (pcbWritten)
1248 *pcbWritten = cbRead;
1249
1250 return VINF_SUCCESS;
1251}
1252
1253/**
1254 * Reads audio data from an AC'97 stream's DMA buffer and writes into a specified mixer sink.
1255 *
1256 * @returns IPRT status code.
1257 * @param pSrcStreamCC AC'97 stream to read audio data from (ring-3).
1258 * @param pDstMixSink Mixer sink to write audio data to.
1259 * @param cbToRead Number of bytes to read.
1260 * @param pcbRead Number of bytes read. Optional.
1261 */
1262static int ichac97R3StreamRead(PAC97STREAMR3 pSrcStreamCC, PAUDMIXSINK pDstMixSink, uint32_t cbToRead, uint32_t *pcbRead)
1263{
1264 AssertPtrReturn(pDstMixSink, VERR_INVALID_POINTER);
1265 AssertReturn(cbToRead > 0, VERR_INVALID_PARAMETER);
1266 /* pcbRead is optional. */
1267
1268 PRTCIRCBUF pCircBuf = pSrcStreamCC->State.pCircBuf;
1269 AssertPtr(pCircBuf);
1270
1271 void *pvSrc;
1272 size_t cbSrc;
1273
1274 int rc = VINF_SUCCESS;
1275
1276 uint32_t cbReadTotal = 0;
1277 uint32_t cbLeft = RT_MIN(cbToRead, (uint32_t)RTCircBufUsed(pCircBuf));
1278
1279 while (cbLeft)
1280 {
1281 uint32_t cbWritten = 0;
1282
1283 RTCircBufAcquireReadBlock(pCircBuf, cbLeft, &pvSrc, &cbSrc);
1284
1285 if (cbSrc)
1286 {
1287 if (RT_LIKELY(!pSrcStreamCC->Dbg.Runtime.fEnabled))
1288 { /* likely */ }
1289 else
1290 DrvAudioHlpFileWrite(pSrcStreamCC->Dbg.Runtime.pFileStream, pvSrc, cbSrc, 0 /* fFlags */);
1291
1292 rc = AudioMixerSinkWrite(pDstMixSink, AUDMIXOP_COPY, pvSrc, (uint32_t)cbSrc, &cbWritten);
1293 AssertRC(rc);
1294
1295 Assert(cbSrc >= cbWritten);
1296 Log3Func(("[SD%RU8] %RU32/%zu bytes read\n", pSrcStreamCC->u8SD, cbWritten, cbSrc));
1297 }
1298
1299 RTCircBufReleaseReadBlock(pCircBuf, cbWritten);
1300
1301 if ( !cbWritten /* Nothing written? */
1302 || RT_FAILURE(rc))
1303 break;
1304
1305 Assert(cbLeft >= cbWritten);
1306 cbLeft -= cbWritten;
1307
1308 cbReadTotal += cbWritten;
1309 }
1310
1311 if (pcbRead)
1312 *pcbRead = cbReadTotal;
1313
1314 return rc;
1315}
1316
1317# ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
1318
1319/**
1320 * Asynchronous I/O thread for an AC'97 stream.
1321 * This will do the heavy lifting work for us as soon as it's getting notified by another thread.
1322 *
1323 * @returns IPRT status code.
1324 * @param hThreadSelf Thread handle.
1325 * @param pvUser User argument. Must be of type PAC97STREAMTHREADCTX.
1326 */
1327static DECLCALLBACK(int) ichac97R3StreamAsyncIOThread(RTTHREAD hThreadSelf, void *pvUser)
1328{
1329 PAC97STREAMTHREADCTX pCtx = (PAC97STREAMTHREADCTX)pvUser;
1330 AssertPtr(pCtx);
1331
1332 PAC97STATE pThis = pCtx->pThis;
1333 AssertPtr(pThis);
1334
1335 PAC97STREAM pStream = pCtx->pStream;
1336 AssertPtr(pStream);
1337
1338 PAC97STREAMSTATEAIO pAIO = &pCtx->pStreamCC->State.AIO;
1339
1340 ASMAtomicXchgBool(&pAIO->fStarted, true);
1341
1342 RTThreadUserSignal(hThreadSelf);
1343
1344 /** @todo r=bird: What wasn't mentioned by the original author of this
1345 * code, is that pCtx is now invalid as it must be assumed to be out
1346 * of scope in the parent thread. It is a 'ing stack object! */
1347
1348 LogFunc(("[SD%RU8] Started\n", pStream->u8SD));
1349
1350 for (;;)
1351 {
1352 Log2Func(("[SD%RU8] Waiting ...\n", pStream->u8SD));
1353
1354 int rc2 = RTSemEventWait(pAIO->Event, RT_INDEFINITE_WAIT);
1355 if (RT_FAILURE(rc2))
1356 break;
1357
1358 if (ASMAtomicReadBool(&pAIO->fShutdown))
1359 break;
1360
1361 rc2 = RTCritSectEnter(&pAIO->CritSect);
1362 if (RT_SUCCESS(rc2))
1363 {
1364 if (!pAIO->fEnabled)
1365 {
1366 RTCritSectLeave(&pAIO->CritSect);
1367 continue;
1368 }
1369
1370 ichac97R3StreamUpdate(pDevIns, pThis, pThisCC, pStream, pStreamCC, false /* fInTimer */);
1371
1372 int rc3 = RTCritSectLeave(&pAIO->CritSect);
1373 AssertRC(rc3);
1374 }
1375
1376 AssertRC(rc2);
1377 }
1378
1379 LogFunc(("[SD%RU8] Ended\n", pStream->u8SD));
1380
1381 ASMAtomicXchgBool(&pAIO->fStarted, false);
1382
1383 return VINF_SUCCESS;
1384}
1385
1386/**
1387 * Creates the async I/O thread for a specific AC'97 audio stream.
1388 *
1389 * @returns IPRT status code.
1390 * @param pThis The shared AC'97 state.
1391 * @param pStream AC'97 audio stream to create the async I/O thread for.
1392 */
1393static int ichac97R3StreamAsyncIOCreate(PAC97STATE pThis, PAC97STREAM pStream)
1394{
1395 PAC97STREAMSTATEAIO pAIO = &pStreamCC->State.AIO;
1396
1397 int rc;
1398
1399 if (!ASMAtomicReadBool(&pAIO->fStarted))
1400 {
1401 pAIO->fShutdown = false;
1402 pAIO->fEnabled = true; /* Enabled by default. */
1403
1404 rc = RTSemEventCreate(&pAIO->Event);
1405 if (RT_SUCCESS(rc))
1406 {
1407 rc = RTCritSectInit(&pAIO->CritSect);
1408 if (RT_SUCCESS(rc))
1409 {
1410/** @todo r=bird: Why is Ctx on the stack? There is no mention of this in
1411 * the thread structure. Besides, you only wait 10seconds, if the
1412 * host is totally overloaded, it may go out of scope before the new
1413 * thread has finished with it and it will like crash and burn.
1414 *
1415 * Also, there is RTThreadCreateF for giving threads complicated
1416 * names.
1417 *
1418 * Why aren't this code using the PDM threads (PDMDevHlpThreadCreate)?
1419 * They would help you with managing stuff like VM suspending, resuming
1420 * and powering off.
1421 *
1422 * Finally, just create the threads at construction time. */
1423 AC97STREAMTHREADCTX Ctx = { pThis, pStream };
1424# error "Busted code! Do not pass a structure living on the parent stack to the poor thread!"
1425
1426 char szThreadName[64];
1427 RTStrPrintf2(szThreadName, sizeof(szThreadName), "ac97AIO%RU8", pStream->u8SD);
1428
1429 rc = RTThreadCreate(&pAIO->Thread, ichac97R3StreamAsyncIOThread, &Ctx,
1430 0, RTTHREADTYPE_IO, RTTHREADFLAGS_WAITABLE, szThreadName);
1431 if (RT_SUCCESS(rc))
1432 rc = RTThreadUserWait(pAIO->Thread, 10 * 1000 /* 10s timeout */);
1433 }
1434 }
1435 }
1436 else
1437 rc = VINF_SUCCESS;
1438
1439 LogFunc(("[SD%RU8] Returning %Rrc\n", pStream->u8SD, rc));
1440 return rc;
1441}
1442
1443/**
1444 * Lets the stream's async I/O thread know that there is some data to process.
1445 *
1446 * @returns IPRT status code.
1447 * @param pStreamCC The AC'97 stream to notify async I/O thread
1448 * for (ring-3).
1449 */
1450static int ichac97R3StreamAsyncIONotify(PAC97STREAM pStreamCC)
1451{
1452 LogFunc(("[SD%RU8]\n", pStreamCC->u8SD));
1453 return RTSemEventSignal(pStreamCC->State.AIO.Event);
1454}
1455
1456/**
1457 * Destroys the async I/O thread of a specific AC'97 audio stream.
1458 *
1459 * @returns IPRT status code.
1460 * @param pThis The shared AC'97 state.
1461 * @param pStream AC'97 audio stream to destroy the async I/O thread for.
1462 */
1463static int ichac97R3StreamAsyncIODestroy(PAC97STATE pThis, PAC97STREAM pStream)
1464{
1465 PAC97STREAMSTATEAIO pAIO = &pStreamCC->State.AIO;
1466
1467 if (!ASMAtomicReadBool(&pAIO->fStarted))
1468 return VINF_SUCCESS;
1469
1470 ASMAtomicWriteBool(&pAIO->fShutdown, true);
1471
1472 int rc = ichac97R3StreamAsyncIONotify(pStreamCC);
1473 AssertRC(rc);
1474
1475 int rcThread;
1476 rc = RTThreadWait(pAIO->Thread, 30 * 1000 /* 30s timeout */, &rcThread);
1477 LogFunc(("Async I/O thread ended with %Rrc (%Rrc)\n", rc, rcThread));
1478
1479 if (RT_SUCCESS(rc))
1480 {
1481 rc = RTCritSectDelete(&pAIO->CritSect);
1482 AssertRC(rc);
1483
1484 rc = RTSemEventDestroy(pAIO->Event);
1485 AssertRC(rc);
1486
1487 pAIO->fStarted = false;
1488 pAIO->fShutdown = false;
1489 pAIO->fEnabled = false;
1490 }
1491
1492 LogFunc(("[SD%RU8] Returning %Rrc\n", pStream->u8SD, rc));
1493 return rc;
1494}
1495
1496/**
1497 * Locks the async I/O thread of a specific AC'97 audio stream.
1498 *
1499 * @param pStream AC'97 stream to lock async I/O thread for.
1500 */
1501static void ichac97R3StreamAsyncIOLock(PAC97STREAM pStream)
1502{
1503 PAC97STREAMSTATEAIO pAIO = &pStreamCC->State.AIO;
1504
1505 if (!ASMAtomicReadBool(&pAIO->fStarted))
1506 return;
1507
1508 int rc2 = RTCritSectEnter(&pAIO->CritSect);
1509 AssertRC(rc2);
1510}
1511
1512/**
1513 * Unlocks the async I/O thread of a specific AC'97 audio stream.
1514 *
1515 * @param pStream AC'97 stream to unlock async I/O thread for.
1516 */
1517static void ichac97R3StreamAsyncIOUnlock(PAC97STREAM pStream)
1518{
1519 PAC97STREAMSTATEAIO pAIO = &pStreamCC->State.AIO;
1520
1521 if (!ASMAtomicReadBool(&pAIO->fStarted))
1522 return;
1523
1524 int rc2 = RTCritSectLeave(&pAIO->CritSect);
1525 AssertRC(rc2);
1526}
1527
1528#if 0 /* Unused */
1529/**
1530 * Enables (resumes) or disables (pauses) the async I/O thread.
1531 *
1532 * @param pStream AC'97 stream to enable/disable async I/O thread for.
1533 * @param fEnable Whether to enable or disable the I/O thread.
1534 *
1535 * @remarks Does not do locking.
1536 */
1537static void ichac97R3StreamAsyncIOEnable(PAC97STREAM pStream, bool fEnable)
1538{
1539 PAC97STREAMSTATEAIO pAIO = &pStreamCC->State.AIO;
1540 ASMAtomicXchgBool(&pAIO->fEnabled, fEnable);
1541}
1542#endif
1543# endif /* VBOX_WITH_AUDIO_AC97_ASYNC_IO */
1544
1545# ifdef LOG_ENABLED
1546static void ichac97R3BDLEDumpAll(PPDMDEVINS pDevIns, uint64_t u64BDLBase, uint16_t cBDLE)
1547{
1548 LogFlowFunc(("BDLEs @ 0x%x (%RU16):\n", u64BDLBase, cBDLE));
1549 if (!u64BDLBase)
1550 return;
1551
1552 uint32_t cbBDLE = 0;
1553 for (uint16_t i = 0; i < cBDLE; i++)
1554 {
1555 AC97BDLE BDLE;
1556 PDMDevHlpPhysRead(pDevIns, u64BDLBase + i * sizeof(AC97BDLE), &BDLE, sizeof(AC97BDLE));
1557
1558# ifndef RT_LITTLE_ENDIAN
1559# error "Please adapt the code (audio buffers are little endian)!"
1560# else
1561 BDLE.addr = RT_H2LE_U32(BDLE.addr & ~3);
1562 BDLE.ctl_len = RT_H2LE_U32(BDLE.ctl_len);
1563#endif
1564 LogFunc(("\t#%03d BDLE(adr:0x%llx, size:%RU32 [%RU32 bytes], bup:%RTbool, ioc:%RTbool)\n",
1565 i, BDLE.addr,
1566 BDLE.ctl_len & AC97_BD_LEN_MASK,
1567 (BDLE.ctl_len & AC97_BD_LEN_MASK) << 1, /** @todo r=andy Assumes 16bit samples. */
1568 RT_BOOL(BDLE.ctl_len & AC97_BD_BUP),
1569 RT_BOOL(BDLE.ctl_len & AC97_BD_IOC)));
1570
1571 cbBDLE += (BDLE.ctl_len & AC97_BD_LEN_MASK) << 1; /** @todo r=andy Ditto. */
1572 }
1573
1574 LogFlowFunc(("Total: %RU32 bytes\n", cbBDLE));
1575}
1576# endif /* LOG_ENABLED */
1577
1578/**
1579 * Updates an AC'97 stream by doing its required data transfers.
1580 * The host sink(s) set the overall pace.
1581 *
1582 * This routine is called by both, the synchronous and the asynchronous
1583 * (VBOX_WITH_AUDIO_AC97_ASYNC_IO), implementations.
1584 *
1585 * When running synchronously, the device DMA transfers *and* the mixer sink
1586 * processing is within the device timer.
1587 *
1588 * When running asynchronously, only the device DMA transfers are done in the
1589 * device timer, whereas the mixer sink processing then is done in the stream's
1590 * own async I/O thread. This thread also will call this function
1591 * (with fInTimer set to @c false).
1592 *
1593 * @param pDevIns The device instance.
1594 * @param pThis The shared AC'97 state.
1595 * @param pThisCC The ring-3 AC'97 state.
1596 * @param pStream The AC'97 stream to update (shared).
1597 * @param pStreamCC The AC'97 stream to update (ring-3).
1598 * @param fInTimer Whether to this function was called from the timer
1599 * context or an asynchronous I/O stream thread (if supported).
1600 */
1601static void ichac97R3StreamUpdate(PPDMDEVINS pDevIns, PAC97STATE pThis, PAC97STATER3 pThisCC,
1602 PAC97STREAM pStream, PAC97STREAMR3 pStreamCC, bool fInTimer)
1603{
1604 RT_NOREF(fInTimer);
1605
1606 PAUDMIXSINK pSink = ichac97R3IndexToSink(pThisCC, pStream->u8SD);
1607 AssertPtr(pSink);
1608
1609 if (!AudioMixerSinkIsActive(pSink)) /* No sink available? Bail out. */
1610 return;
1611
1612 int rc2;
1613
1614 if (pStreamCC->State.Cfg.enmDir == PDMAUDIODIR_OUT) /* Output (SDO). */
1615 {
1616# ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
1617 if (fInTimer)
1618# endif
1619 {
1620 const uint32_t cbStreamFree = ichac97R3StreamGetFree(pStreamCC);
1621 if (cbStreamFree)
1622 {
1623 Log3Func(("[SD%RU8] PICB=%zu (%RU64ms), cbFree=%zu (%RU64ms), cbTransferChunk=%zu (%RU64ms)\n",
1624 pStream->u8SD,
1625 (pStream->Regs.picb << 1), DrvAudioHlpBytesToMilli((pStream->Regs.picb << 1), &pStreamCC->State.Cfg.Props),
1626 cbStreamFree, DrvAudioHlpBytesToMilli(cbStreamFree, &pStreamCC->State.Cfg.Props),
1627 pStreamCC->State.cbTransferChunk, DrvAudioHlpBytesToMilli(pStreamCC->State.cbTransferChunk, &pStreamCC->State.Cfg.Props)));
1628
1629 /* Do the DMA transfer. */
1630 rc2 = ichac97R3StreamTransfer(pDevIns, pThis, pStream, pStreamCC,
1631 RT_MIN(pStreamCC->State.cbTransferChunk, cbStreamFree));
1632 AssertRC(rc2);
1633
1634 pStreamCC->State.tsLastUpdateNs = RTTimeNanoTS();
1635 }
1636 }
1637
1638 Log3Func(("[SD%RU8] fInTimer=%RTbool\n", pStream->u8SD, fInTimer));
1639
1640# ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
1641 rc2 = ichac97R3StreamAsyncIONotify(pStreamCC);
1642 AssertRC(rc2);
1643# endif
1644
1645# ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
1646 if (!fInTimer) /* In async I/O thread */
1647 {
1648# endif
1649 const uint32_t cbSinkWritable = AudioMixerSinkGetWritable(pSink);
1650 const uint32_t cbStreamReadable = ichac97R3StreamGetUsed(pStreamCC);
1651 const uint32_t cbToReadFromStream = RT_MIN(cbStreamReadable, cbSinkWritable);
1652
1653 Log3Func(("[SD%RU8] cbSinkWritable=%RU32, cbStreamReadable=%RU32\n", pStream->u8SD, cbSinkWritable, cbStreamReadable));
1654
1655 if (cbToReadFromStream)
1656 {
1657 /* Read (guest output) data and write it to the stream's sink. */
1658 rc2 = ichac97R3StreamRead(pStreamCC, pSink, cbToReadFromStream, NULL /* pcbRead */);
1659 AssertRC(rc2);
1660 }
1661# ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
1662 }
1663#endif
1664 /* When running synchronously, update the associated sink here.
1665 * Otherwise this will be done in the async I/O thread. */
1666 rc2 = AudioMixerSinkUpdate(pSink);
1667 AssertRC(rc2);
1668 }
1669 else /* Input (SDI). */
1670 {
1671# ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
1672 if (!fInTimer)
1673 {
1674# endif
1675 rc2 = AudioMixerSinkUpdate(pSink);
1676 AssertRC(rc2);
1677
1678 /* Is the sink ready to be read (host input data) from? If so, by how much? */
1679 uint32_t cbSinkReadable = AudioMixerSinkGetReadable(pSink);
1680
1681 /* How much (guest input) data is available for writing at the moment for the AC'97 stream? */
1682 uint32_t cbStreamFree = ichac97R3StreamGetFree(pStreamCC);
1683
1684 Log3Func(("[SD%RU8] cbSinkReadable=%RU32, cbStreamFree=%RU32\n", pStream->u8SD, cbSinkReadable, cbStreamFree));
1685
1686 /* Do not read more than the sink can provide at the moment.
1687 * The host sets the overall pace. */
1688 if (cbSinkReadable > cbStreamFree)
1689 cbSinkReadable = cbStreamFree;
1690
1691 if (cbSinkReadable)
1692 {
1693 /* Write (guest input) data to the stream which was read from stream's sink before. */
1694 rc2 = ichac97R3StreamWrite(pStreamCC, pSink, cbSinkReadable, NULL /* pcbWritten */);
1695 AssertRC(rc2);
1696 }
1697# ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
1698 }
1699 else /* fInTimer */
1700 {
1701# endif
1702
1703# ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
1704 const uint64_t tsNowNs = RTTimeNanoTS();
1705 if (tsNowNs - pStreamCC->State.tsLastUpdateNs >= pStreamCC->State.Cfg.Device.cMsSchedulingHint * RT_NS_1MS)
1706 {
1707 rc2 = ichac97R3StreamAsyncIONotify(pStreamCC);
1708 AssertRC(rc2);
1709
1710 pStreamCC->State.tsLastUpdateNs = tsNowNs;
1711 }
1712# endif
1713
1714 const uint32_t cbStreamUsed = ichac97R3StreamGetUsed(pStreamCC);
1715 if (cbStreamUsed)
1716 {
1717 /* When running synchronously, do the DMA data transfers here.
1718 * Otherwise this will be done in the stream's async I/O thread. */
1719 rc2 = ichac97R3StreamTransfer(pDevIns, pThis, pStream, pStreamCC, cbStreamUsed);
1720 AssertRC(rc2);
1721 }
1722# ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
1723 }
1724# endif
1725 }
1726}
1727
1728#endif /* IN_RING3 */
1729
1730/**
1731 * Sets a AC'97 mixer control to a specific value.
1732 *
1733 * @returns IPRT status code.
1734 * @param pThis The shared AC'97 state.
1735 * @param uMixerIdx Mixer control to set value for.
1736 * @param uVal Value to set.
1737 */
1738static void ichac97MixerSet(PAC97STATE pThis, uint8_t uMixerIdx, uint16_t uVal)
1739{
1740 AssertMsgReturnVoid(uMixerIdx + 2U <= sizeof(pThis->mixer_data),
1741 ("Index %RU8 out of bounds (%zu)\n", uMixerIdx, sizeof(pThis->mixer_data)));
1742
1743 LogRel2(("AC97: Setting mixer index #%RU8 to %RU16 (%RU8 %RU8)\n",
1744 uMixerIdx, uVal, RT_HI_U8(uVal), RT_LO_U8(uVal)));
1745
1746 pThis->mixer_data[uMixerIdx + 0] = RT_LO_U8(uVal);
1747 pThis->mixer_data[uMixerIdx + 1] = RT_HI_U8(uVal);
1748}
1749
1750/**
1751 * Gets a value from a specific AC'97 mixer control.
1752 *
1753 * @returns Retrieved mixer control value.
1754 * @param pThis The shared AC'97 state.
1755 * @param uMixerIdx Mixer control to get value for.
1756 */
1757static uint16_t ichac97MixerGet(PAC97STATE pThis, uint32_t uMixerIdx)
1758{
1759 AssertMsgReturn(uMixerIdx + 2U <= sizeof(pThis->mixer_data),
1760 ("Index %RU8 out of bounds (%zu)\n", uMixerIdx, sizeof(pThis->mixer_data)),
1761 UINT16_MAX);
1762 return RT_MAKE_U16(pThis->mixer_data[uMixerIdx + 0], pThis->mixer_data[uMixerIdx + 1]);
1763}
1764
1765#ifdef IN_RING3
1766
1767/**
1768 * Retrieves a specific driver stream of a AC'97 driver.
1769 *
1770 * @returns Pointer to driver stream if found, or NULL if not found.
1771 * @param pDrv Driver to retrieve driver stream for.
1772 * @param enmDir Stream direction to retrieve.
1773 * @param dstSrc Stream destination / source to retrieve.
1774 */
1775static PAC97DRIVERSTREAM ichac97R3MixerGetDrvStream(PAC97DRIVER pDrv, PDMAUDIODIR enmDir, PDMAUDIODSTSRCUNION dstSrc)
1776{
1777 PAC97DRIVERSTREAM pDrvStream = NULL;
1778
1779 if (enmDir == PDMAUDIODIR_IN)
1780 {
1781 LogFunc(("enmRecSource=%d\n", dstSrc.enmSrc));
1782
1783 switch (dstSrc.enmSrc)
1784 {
1785 case PDMAUDIORECSRC_LINE:
1786 pDrvStream = &pDrv->LineIn;
1787 break;
1788 case PDMAUDIORECSRC_MIC:
1789 pDrvStream = &pDrv->MicIn;
1790 break;
1791 default:
1792 AssertFailed();
1793 break;
1794 }
1795 }
1796 else if (enmDir == PDMAUDIODIR_OUT)
1797 {
1798 LogFunc(("enmPlaybackDest=%d\n", dstSrc.enmDst));
1799
1800 switch (dstSrc.enmDst)
1801 {
1802 case PDMAUDIOPLAYBACKDST_FRONT:
1803 pDrvStream = &pDrv->Out;
1804 break;
1805 default:
1806 AssertFailed();
1807 break;
1808 }
1809 }
1810 else
1811 AssertFailed();
1812
1813 return pDrvStream;
1814}
1815
1816/**
1817 * Adds a driver stream to a specific mixer sink.
1818 *
1819 * @returns IPRT status code.
1820 * @param pMixSink Mixer sink to add driver stream to.
1821 * @param pCfg Stream configuration to use.
1822 * @param pDrv Driver stream to add.
1823 */
1824static int ichac97R3MixerAddDrvStream(PAUDMIXSINK pMixSink, PPDMAUDIOSTREAMCFG pCfg, PAC97DRIVER pDrv)
1825{
1826 AssertPtrReturn(pMixSink, VERR_INVALID_POINTER);
1827
1828 PPDMAUDIOSTREAMCFG pStreamCfg = DrvAudioHlpStreamCfgDup(pCfg);
1829 if (!pStreamCfg)
1830 return VERR_NO_MEMORY;
1831
1832 if (!RTStrPrintf(pStreamCfg->szName, sizeof(pStreamCfg->szName), "%s", pCfg->szName))
1833 {
1834 DrvAudioHlpStreamCfgFree(pStreamCfg);
1835 return VERR_BUFFER_OVERFLOW;
1836 }
1837
1838 LogFunc(("[LUN#%RU8] %s\n", pDrv->uLUN, pStreamCfg->szName));
1839
1840 int rc;
1841
1842 PAC97DRIVERSTREAM pDrvStream = ichac97R3MixerGetDrvStream(pDrv, pStreamCfg->enmDir, pStreamCfg->u);
1843 if (pDrvStream)
1844 {
1845 AssertMsg(pDrvStream->pMixStrm == NULL, ("[LUN#%RU8] Driver stream already present when it must not\n", pDrv->uLUN));
1846
1847 PAUDMIXSTREAM pMixStrm;
1848 rc = AudioMixerSinkCreateStream(pMixSink, pDrv->pConnector, pStreamCfg, 0 /* fFlags */, &pMixStrm);
1849 LogFlowFunc(("LUN#%RU8: Created stream \"%s\" for sink, rc=%Rrc\n", pDrv->uLUN, pStreamCfg->szName, rc));
1850 if (RT_SUCCESS(rc))
1851 {
1852 rc = AudioMixerSinkAddStream(pMixSink, pMixStrm);
1853 LogFlowFunc(("LUN#%RU8: Added stream \"%s\" to sink, rc=%Rrc\n", pDrv->uLUN, pStreamCfg->szName, rc));
1854 if (RT_SUCCESS(rc))
1855 {
1856 /* If this is an input stream, always set the latest (added) stream
1857 * as the recording source.
1858 * @todo Make the recording source dynamic (CFGM?). */
1859 if (pStreamCfg->enmDir == PDMAUDIODIR_IN)
1860 {
1861 PDMAUDIOBACKENDCFG Cfg;
1862 rc = pDrv->pConnector->pfnGetConfig(pDrv->pConnector, &Cfg);
1863 if (RT_SUCCESS(rc))
1864 {
1865 if (Cfg.cMaxStreamsIn) /* At least one input source available? */
1866 {
1867 rc = AudioMixerSinkSetRecordingSource(pMixSink, pMixStrm);
1868 LogFlowFunc(("LUN#%RU8: Recording source for '%s' -> '%s', rc=%Rrc\n",
1869 pDrv->uLUN, pStreamCfg->szName, Cfg.szName, rc));
1870
1871 if (RT_SUCCESS(rc))
1872 LogRel2(("AC97: Set recording source for '%s' to '%s'\n", pStreamCfg->szName, Cfg.szName));
1873 }
1874 else
1875 LogRel(("AC97: Backend '%s' currently is not offering any recording source for '%s'\n",
1876 Cfg.szName, pStreamCfg->szName));
1877 }
1878 else if (RT_FAILURE(rc))
1879 LogFunc(("LUN#%RU8: Unable to retrieve backend configuratio for '%s', rc=%Rrc\n",
1880 pDrv->uLUN, pStreamCfg->szName, rc));
1881 }
1882 }
1883 }
1884
1885 if (RT_SUCCESS(rc))
1886 pDrvStream->pMixStrm = pMixStrm;
1887 }
1888 else
1889 rc = VERR_INVALID_PARAMETER;
1890
1891 DrvAudioHlpStreamCfgFree(pStreamCfg);
1892
1893 LogFlowFuncLeaveRC(rc);
1894 return rc;
1895}
1896
1897/**
1898 * Adds all current driver streams to a specific mixer sink.
1899 *
1900 * @returns IPRT status code.
1901 * @param pThisCC The ring-3 AC'97 state.
1902 * @param pMixSink Mixer sink to add stream to.
1903 * @param pCfg Stream configuration to use.
1904 */
1905static int ichac97R3MixerAddDrvStreams(PAC97STATER3 pThisCC, PAUDMIXSINK pMixSink, PPDMAUDIOSTREAMCFG pCfg)
1906{
1907 AssertPtrReturn(pMixSink, VERR_INVALID_POINTER);
1908
1909 if (!DrvAudioHlpStreamCfgIsValid(pCfg))
1910 return VERR_INVALID_PARAMETER;
1911
1912 int rc = AudioMixerSinkSetFormat(pMixSink, &pCfg->Props);
1913 if (RT_FAILURE(rc))
1914 return rc;
1915
1916 PAC97DRIVER pDrv;
1917 RTListForEach(&pThisCC->lstDrv, pDrv, AC97DRIVER, Node)
1918 {
1919 int rc2 = ichac97R3MixerAddDrvStream(pMixSink, pCfg, pDrv);
1920 if (RT_FAILURE(rc2))
1921 LogFunc(("Attaching stream failed with %Rrc\n", rc2));
1922
1923 /* Do not pass failure to rc here, as there might be drivers which aren't
1924 * configured / ready yet. */
1925 }
1926
1927 LogFlowFuncLeaveRC(rc);
1928 return rc;
1929}
1930
1931/**
1932 * Adds a specific AC'97 driver to the driver chain.
1933 *
1934 * @return IPRT status code.
1935 * @param pThisCC The ring-3 AC'97 device state.
1936 * @param pDrv The AC'97 driver to add.
1937 */
1938static int ichac97R3MixerAddDrv(PAC97STATER3 pThisCC, PAC97DRIVER pDrv)
1939{
1940 int rc = VINF_SUCCESS;
1941
1942 if (DrvAudioHlpStreamCfgIsValid(&pThisCC->aStreams[AC97SOUNDSOURCE_PI_INDEX].State.Cfg))
1943 rc = ichac97R3MixerAddDrvStream(pThisCC->pSinkLineIn, &pThisCC->aStreams[AC97SOUNDSOURCE_PI_INDEX].State.Cfg, pDrv);
1944
1945 if (DrvAudioHlpStreamCfgIsValid(&pThisCC->aStreams[AC97SOUNDSOURCE_PO_INDEX].State.Cfg))
1946 {
1947 int rc2 = ichac97R3MixerAddDrvStream(pThisCC->pSinkOut, &pThisCC->aStreams[AC97SOUNDSOURCE_PO_INDEX].State.Cfg, pDrv);
1948 if (RT_SUCCESS(rc))
1949 rc = rc2;
1950 }
1951
1952 if (DrvAudioHlpStreamCfgIsValid(&pThisCC->aStreams[AC97SOUNDSOURCE_MC_INDEX].State.Cfg))
1953 {
1954 int rc2 = ichac97R3MixerAddDrvStream(pThisCC->pSinkMicIn, &pThisCC->aStreams[AC97SOUNDSOURCE_MC_INDEX].State.Cfg, pDrv);
1955 if (RT_SUCCESS(rc))
1956 rc = rc2;
1957 }
1958
1959 return rc;
1960}
1961
1962/**
1963 * Removes a specific AC'97 driver from the driver chain and destroys its
1964 * associated streams.
1965 *
1966 * @param pThisCC The ring-3 AC'97 device state.
1967 * @param pDrv AC'97 driver to remove.
1968 */
1969static void ichac97R3MixerRemoveDrv(PAC97STATER3 pThisCC, PAC97DRIVER pDrv)
1970{
1971 if (pDrv->MicIn.pMixStrm)
1972 {
1973 if (AudioMixerSinkGetRecordingSource(pThisCC->pSinkMicIn) == pDrv->MicIn.pMixStrm)
1974 AudioMixerSinkSetRecordingSource(pThisCC->pSinkMicIn, NULL);
1975
1976 AudioMixerSinkRemoveStream(pThisCC->pSinkMicIn, pDrv->MicIn.pMixStrm);
1977 AudioMixerStreamDestroy(pDrv->MicIn.pMixStrm);
1978 pDrv->MicIn.pMixStrm = NULL;
1979 }
1980
1981 if (pDrv->LineIn.pMixStrm)
1982 {
1983 if (AudioMixerSinkGetRecordingSource(pThisCC->pSinkLineIn) == pDrv->LineIn.pMixStrm)
1984 AudioMixerSinkSetRecordingSource(pThisCC->pSinkLineIn, NULL);
1985
1986 AudioMixerSinkRemoveStream(pThisCC->pSinkLineIn, pDrv->LineIn.pMixStrm);
1987 AudioMixerStreamDestroy(pDrv->LineIn.pMixStrm);
1988 pDrv->LineIn.pMixStrm = NULL;
1989 }
1990
1991 if (pDrv->Out.pMixStrm)
1992 {
1993 AudioMixerSinkRemoveStream(pThisCC->pSinkOut, pDrv->Out.pMixStrm);
1994 AudioMixerStreamDestroy(pDrv->Out.pMixStrm);
1995 pDrv->Out.pMixStrm = NULL;
1996 }
1997
1998 RTListNodeRemove(&pDrv->Node);
1999}
2000
2001/**
2002 * Removes a driver stream from a specific mixer sink.
2003 *
2004 * @param pMixSink Mixer sink to remove audio streams from.
2005 * @param enmDir Stream direction to remove.
2006 * @param dstSrc Stream destination / source to remove.
2007 * @param pDrv Driver stream to remove.
2008 */
2009static void ichac97R3MixerRemoveDrvStream(PAUDMIXSINK pMixSink, PDMAUDIODIR enmDir, PDMAUDIODSTSRCUNION dstSrc, PAC97DRIVER pDrv)
2010{
2011 PAC97DRIVERSTREAM pDrvStream = ichac97R3MixerGetDrvStream(pDrv, enmDir, dstSrc);
2012 if (pDrvStream)
2013 {
2014 if (pDrvStream->pMixStrm)
2015 {
2016 AudioMixerSinkRemoveStream(pMixSink, pDrvStream->pMixStrm);
2017
2018 AudioMixerStreamDestroy(pDrvStream->pMixStrm);
2019 pDrvStream->pMixStrm = NULL;
2020 }
2021 }
2022}
2023
2024/**
2025 * Removes all driver streams from a specific mixer sink.
2026 *
2027 * @param pThisCC The ring-3 AC'97 state.
2028 * @param pMixSink Mixer sink to remove audio streams from.
2029 * @param enmDir Stream direction to remove.
2030 * @param dstSrc Stream destination / source to remove.
2031 */
2032static void ichac97R3MixerRemoveDrvStreams(PAC97STATER3 pThisCC, PAUDMIXSINK pMixSink,
2033 PDMAUDIODIR enmDir, PDMAUDIODSTSRCUNION dstSrc)
2034{
2035 AssertPtrReturnVoid(pMixSink);
2036
2037 PAC97DRIVER pDrv;
2038 RTListForEach(&pThisCC->lstDrv, pDrv, AC97DRIVER, Node)
2039 {
2040 ichac97R3MixerRemoveDrvStream(pMixSink, enmDir, dstSrc, pDrv);
2041 }
2042}
2043
2044/**
2045 * Calculates and returns the ticks for a specified amount of bytes.
2046 *
2047 * @returns Calculated ticks
2048 * @param pDevIns The device instance.
2049 * @param pStream AC'97 stream to calculate ticks for (shared).
2050 * @param pStreamCC AC'97 stream to calculate ticks for (ring-3).
2051 * @param cbBytes Bytes to calculate ticks for.
2052 */
2053static uint64_t ichac97R3StreamTransferCalcNext(PPDMDEVINS pDevIns, PAC97STREAM pStream, PAC97STREAMR3 pStreamCC, uint32_t cbBytes)
2054{
2055 if (!cbBytes)
2056 return 0;
2057
2058 const uint64_t usBytes = DrvAudioHlpBytesToMicro(cbBytes, &pStreamCC->State.Cfg.Props);
2059 const uint64_t cTransferTicks = PDMDevHlpTimerFromMicro(pDevIns, pStream->hTimer, usBytes);
2060
2061 Log3Func(("[SD%RU8] Timer %uHz, cbBytes=%RU32 -> usBytes=%RU64, cTransferTicks=%RU64\n",
2062 pStream->u8SD, pStreamCC->State.uTimerHz, cbBytes, usBytes, cTransferTicks));
2063
2064 return cTransferTicks;
2065}
2066
2067/**
2068 * Updates the next transfer based on a specific amount of bytes.
2069 *
2070 * @param pDevIns The device instance.
2071 * @param pStream The AC'97 stream to update (shared).
2072 * @param pStreamCC The AC'97 stream to update (ring-3).
2073 * @param cbBytes Bytes to update next transfer for.
2074 */
2075static void ichac97R3StreamTransferUpdate(PPDMDEVINS pDevIns, PAC97STREAM pStream, PAC97STREAMR3 pStreamCC, uint32_t cbBytes)
2076{
2077 if (!cbBytes)
2078 return;
2079
2080 /* Calculate the bytes we need to transfer to / from the stream's DMA per iteration.
2081 * This is bound to the device's Hz rate and thus to the (virtual) timing the device expects. */
2082 pStreamCC->State.cbTransferChunk = cbBytes;
2083
2084 /* Update the transfer ticks. */
2085 pStreamCC->State.cTransferTicks = ichac97R3StreamTransferCalcNext(pDevIns, pStream, pStreamCC,
2086 pStreamCC->State.cbTransferChunk);
2087 Assert(pStreamCC->State.cTransferTicks); /* Paranoia. */
2088}
2089
2090/**
2091 * Opens an AC'97 stream with its current mixer settings.
2092 *
2093 * This will open an AC'97 stream with 2 (stereo) channels, 16-bit samples and
2094 * the last set sample rate in the AC'97 mixer for this stream.
2095 *
2096 * @returns IPRT status code.
2097 * @param pThis The shared AC'97 device state (shared).
2098 * @param pThisCC The shared AC'97 device state (ring-3).
2099 * @param pStream The AC'97 stream to open (shared).
2100 * @param pStreamCC The AC'97 stream to open (ring-3).
2101 * @param fForce Whether to force re-opening the stream or not.
2102 * Otherwise re-opening only will happen if the PCM properties have changed.
2103 */
2104static int ichac97R3StreamOpen(PAC97STATE pThis, PAC97STATER3 pThisCC, PAC97STREAM pStream, PAC97STREAMR3 pStreamCC, bool fForce)
2105{
2106 PDMAUDIOSTREAMCFG Cfg;
2107 RT_ZERO(Cfg);
2108 Cfg.Props.cChannels = 2;
2109 Cfg.Props.cbSample = 2 /* 16-bit */;
2110 Cfg.Props.fSigned = true;
2111 Cfg.Props.cShift = PDMAUDIOPCMPROPS_MAKE_SHIFT_PARMS(Cfg.Props.cbSample, Cfg.Props.cChannels);
2112
2113 int rc = VINF_SUCCESS;
2114 PAUDMIXSINK pMixSink;
2115 switch (pStream->u8SD)
2116 {
2117 case AC97SOUNDSOURCE_PI_INDEX:
2118 {
2119 Cfg.Props.uHz = ichac97MixerGet(pThis, AC97_PCM_LR_ADC_Rate);
2120 Cfg.enmDir = PDMAUDIODIR_IN;
2121 Cfg.u.enmSrc = PDMAUDIORECSRC_LINE;
2122 Cfg.enmLayout = PDMAUDIOSTREAMLAYOUT_NON_INTERLEAVED;
2123 RTStrCopy(Cfg.szName, sizeof(Cfg.szName), "Line-In");
2124
2125 pMixSink = pThisCC->pSinkLineIn;
2126 break;
2127 }
2128
2129 case AC97SOUNDSOURCE_MC_INDEX:
2130 {
2131 Cfg.Props.uHz = ichac97MixerGet(pThis, AC97_MIC_ADC_Rate);
2132 Cfg.enmDir = PDMAUDIODIR_IN;
2133 Cfg.u.enmSrc = PDMAUDIORECSRC_MIC;
2134 Cfg.enmLayout = PDMAUDIOSTREAMLAYOUT_NON_INTERLEAVED;
2135 RTStrCopy(Cfg.szName, sizeof(Cfg.szName), "Mic-In");
2136
2137 pMixSink = pThisCC->pSinkMicIn;
2138 break;
2139 }
2140
2141 case AC97SOUNDSOURCE_PO_INDEX:
2142 {
2143 Cfg.Props.uHz = ichac97MixerGet(pThis, AC97_PCM_Front_DAC_Rate);
2144 Cfg.enmDir = PDMAUDIODIR_OUT;
2145 Cfg.u.enmDst = PDMAUDIOPLAYBACKDST_FRONT;
2146 Cfg.enmLayout = PDMAUDIOSTREAMLAYOUT_NON_INTERLEAVED;
2147 RTStrCopy(Cfg.szName, sizeof(Cfg.szName), "Output");
2148
2149 pMixSink = pThisCC->pSinkOut;
2150 break;
2151 }
2152
2153 default:
2154 rc = VERR_NOT_SUPPORTED;
2155 pMixSink = NULL;
2156 break;
2157 }
2158
2159 if (RT_SUCCESS(rc))
2160 {
2161 /* Only (re-)create the stream (and driver chain) if we really have to.
2162 * Otherwise avoid this and just reuse it, as this costs performance. */
2163 if ( !DrvAudioHlpPCMPropsAreEqual(&Cfg.Props, &pStreamCC->State.Cfg.Props)
2164 || fForce)
2165 {
2166 LogRel2(("AC97: (Re-)Opening stream '%s' (%RU32Hz, %RU8 channels, %s%RU8)\n",
2167 Cfg.szName, Cfg.Props.uHz, Cfg.Props.cChannels, Cfg.Props.fSigned ? "S" : "U", Cfg.Props.cbSample * 8));
2168
2169 LogFlowFunc(("[SD%RU8] uHz=%RU32\n", pStream->u8SD, Cfg.Props.uHz));
2170
2171 if (Cfg.Props.uHz)
2172 {
2173 Assert(Cfg.enmDir != PDMAUDIODIR_UNKNOWN);
2174
2175 /*
2176 * Set the stream's timer Hz rate, based on the PCM properties Hz rate.
2177 */
2178 if (pThis->uTimerHz == AC97_TIMER_HZ_DEFAULT) /* Make sure that we don't have any custom Hz rate set we want to enforce */
2179 {
2180 if (Cfg.Props.uHz > 44100) /* E.g. 48000 Hz. */
2181 pStreamCC->State.uTimerHz = 200;
2182 else /* Just take the global Hz rate otherwise. */
2183 pStreamCC->State.uTimerHz = pThis->uTimerHz;
2184 }
2185 else
2186 pStreamCC->State.uTimerHz = pThis->uTimerHz;
2187
2188 /* Set scheduling hint (if available). */
2189 if (pStreamCC->State.uTimerHz)
2190 Cfg.Device.cMsSchedulingHint = 1000 /* ms */ / pStreamCC->State.uTimerHz;
2191
2192 if (pStreamCC->State.pCircBuf)
2193 {
2194 RTCircBufDestroy(pStreamCC->State.pCircBuf);
2195 pStreamCC->State.pCircBuf = NULL;
2196 }
2197
2198 rc = RTCircBufCreate(&pStreamCC->State.pCircBuf, DrvAudioHlpMilliToBytes(100 /* ms */, &Cfg.Props)); /** @todo Make this configurable. */
2199 if (RT_SUCCESS(rc))
2200 {
2201 ichac97R3MixerRemoveDrvStreams(pThisCC, pMixSink, Cfg.enmDir, Cfg.u);
2202
2203 rc = ichac97R3MixerAddDrvStreams(pThisCC, pMixSink, &Cfg);
2204 if (RT_SUCCESS(rc))
2205 rc = DrvAudioHlpStreamCfgCopy(&pStreamCC->State.Cfg, &Cfg);
2206 }
2207 }
2208 }
2209 else
2210 LogFlowFunc(("[SD%RU8] Skipping (re-)creation\n", pStream->u8SD));
2211 }
2212
2213 LogFlowFunc(("[SD%RU8] rc=%Rrc\n", pStream->u8SD, rc));
2214 return rc;
2215}
2216
2217/**
2218 * Closes an AC'97 stream.
2219 *
2220 * @returns IPRT status code.
2221 * @param pStream The AC'97 stream to close (shared).
2222 */
2223static int ichac97R3StreamClose(PAC97STREAM pStream)
2224{
2225 RT_NOREF(pStream);
2226 LogFlowFunc(("[SD%RU8]\n", pStream->u8SD));
2227 return VINF_SUCCESS;
2228}
2229
2230/**
2231 * Re-opens (that is, closes and opens again) an AC'97 stream on the backend
2232 * side with the current AC'97 mixer settings for this stream.
2233 *
2234 * @returns IPRT status code.
2235 * @param pThis The shared AC'97 device state.
2236 * @param pThisCC The ring-3 AC'97 device state.
2237 * @param pStream The AC'97 stream to re-open (shared).
2238 * @param pStreamCC The AC'97 stream to re-open (ring-3).
2239 * @param fForce Whether to force re-opening the stream or not.
2240 * Otherwise re-opening only will happen if the PCM properties have changed.
2241 */
2242static int ichac97R3StreamReOpen(PAC97STATE pThis, PAC97STATER3 pThisCC,
2243 PAC97STREAM pStream, PAC97STREAMR3 pStreamCC, bool fForce)
2244{
2245 LogFlowFunc(("[SD%RU8]\n", pStream->u8SD));
2246 Assert(pStream->u8SD == pStreamCC->u8SD);
2247 Assert(pStream - &pThis->aStreams[0] == pStream->u8SD);
2248 Assert(pStreamCC - &pThisCC->aStreams[0] == pStream->u8SD);
2249
2250 int rc = ichac97R3StreamClose(pStream);
2251 if (RT_SUCCESS(rc))
2252 rc = ichac97R3StreamOpen(pThis, pThisCC, pStream, pStreamCC, fForce);
2253
2254 return rc;
2255}
2256
2257/**
2258 * Locks an AC'97 stream for serialized access.
2259 *
2260 * @returns IPRT status code.
2261 * @param pStreamCC The AC'97 stream to lock (ring-3).
2262 */
2263static void ichac97R3StreamLock(PAC97STREAMR3 pStreamCC)
2264{
2265 int rc2 = RTCritSectEnter(&pStreamCC->State.CritSect);
2266 AssertRC(rc2);
2267}
2268
2269/**
2270 * Unlocks a formerly locked AC'97 stream.
2271 *
2272 * @returns IPRT status code.
2273 * @param pStreamCC The AC'97 stream to unlock (ring-3).
2274 */
2275static void ichac97R3StreamUnlock(PAC97STREAMR3 pStreamCC)
2276{
2277 int rc2 = RTCritSectLeave(&pStreamCC->State.CritSect);
2278 AssertRC(rc2);
2279}
2280
2281/**
2282 * Retrieves the available size of (buffered) audio data (in bytes) of a given AC'97 stream.
2283 *
2284 * @returns Available data (in bytes).
2285 * @param pStreamCC The AC'97 stream to retrieve size for (ring-3).
2286 */
2287static uint32_t ichac97R3StreamGetUsed(PAC97STREAMR3 pStreamCC)
2288{
2289 if (!pStreamCC->State.pCircBuf)
2290 return 0;
2291
2292 return (uint32_t)RTCircBufUsed(pStreamCC->State.pCircBuf);
2293}
2294
2295/**
2296 * Retrieves the free size of audio data (in bytes) of a given AC'97 stream.
2297 *
2298 * @returns Free data (in bytes).
2299 * @param pStreamCC AC'97 stream to retrieve size for (ring-3).
2300 */
2301static uint32_t ichac97R3StreamGetFree(PAC97STREAMR3 pStreamCC)
2302{
2303 if (!pStreamCC->State.pCircBuf)
2304 return 0;
2305
2306 return (uint32_t)RTCircBufFree(pStreamCC->State.pCircBuf);
2307}
2308
2309/**
2310 * Sets the volume of a specific AC'97 mixer control.
2311 *
2312 * This currently only supports attenuation -- gain support is currently not implemented.
2313 *
2314 * @returns IPRT status code.
2315 * @param pThis The shared AC'97 state.
2316 * @param pThisCC The ring-3 AC'97 state.
2317 * @param index AC'97 mixer index to set volume for.
2318 * @param enmMixerCtl Corresponding audio mixer sink.
2319 * @param uVal Volume value to set.
2320 */
2321static int ichac97R3MixerSetVolume(PAC97STATE pThis, PAC97STATER3 pThisCC, int index, PDMAUDIOMIXERCTL enmMixerCtl, uint32_t uVal)
2322{
2323 /*
2324 * From AC'97 SoundMax Codec AD1981A/AD1981B:
2325 * "Because AC '97 defines 6-bit volume registers, to maintain compatibility whenever the
2326 * D5 or D13 bits are set to 1, their respective lower five volume bits are automatically
2327 * set to 1 by the Codec logic. On readback, all lower 5 bits will read ones whenever
2328 * these bits are set to 1."
2329 *
2330 * Linux ALSA depends on this behavior to detect that only 5 bits are used for volume
2331 * control and the optional 6th bit is not used. Note that this logic only applies to the
2332 * master volume controls.
2333 */
2334 if (index == AC97_Master_Volume_Mute || index == AC97_Headphone_Volume_Mute || index == AC97_Master_Volume_Mono_Mute)
2335 {
2336 if (uVal & RT_BIT(5)) /* D5 bit set? */
2337 uVal |= RT_BIT(4) | RT_BIT(3) | RT_BIT(2) | RT_BIT(1) | RT_BIT(0);
2338 if (uVal & RT_BIT(13)) /* D13 bit set? */
2339 uVal |= RT_BIT(12) | RT_BIT(11) | RT_BIT(10) | RT_BIT(9) | RT_BIT(8);
2340 }
2341
2342 const bool fCtlMuted = (uVal >> AC97_BARS_VOL_MUTE_SHIFT) & 1;
2343 uint8_t uCtlAttLeft = (uVal >> 8) & AC97_BARS_VOL_MASK;
2344 uint8_t uCtlAttRight = uVal & AC97_BARS_VOL_MASK;
2345
2346 /* For the master and headphone volume, 0 corresponds to 0dB attenuation. For the other
2347 * volume controls, 0 means 12dB gain and 8 means unity gain.
2348 */
2349 if (index != AC97_Master_Volume_Mute && index != AC97_Headphone_Volume_Mute)
2350 {
2351# ifndef VBOX_WITH_AC97_GAIN_SUPPORT
2352 /* NB: Currently there is no gain support, only attenuation. */
2353 uCtlAttLeft = uCtlAttLeft < 8 ? 0 : uCtlAttLeft - 8;
2354 uCtlAttRight = uCtlAttRight < 8 ? 0 : uCtlAttRight - 8;
2355# endif
2356 }
2357 Assert(uCtlAttLeft <= 255 / AC97_DB_FACTOR);
2358 Assert(uCtlAttRight <= 255 / AC97_DB_FACTOR);
2359
2360 LogFunc(("index=0x%x, uVal=%RU32, enmMixerCtl=%RU32\n", index, uVal, enmMixerCtl));
2361 LogFunc(("uCtlAttLeft=%RU8, uCtlAttRight=%RU8 ", uCtlAttLeft, uCtlAttRight));
2362
2363 /*
2364 * For AC'97 volume controls, each additional step means -1.5dB attenuation with
2365 * zero being maximum. In contrast, we're internally using 255 (PDMAUDIO_VOLUME_MAX)
2366 * steps, each -0.375dB, where 0 corresponds to -96dB and 255 corresponds to 0dB.
2367 */
2368 uint8_t lVol = PDMAUDIO_VOLUME_MAX - uCtlAttLeft * AC97_DB_FACTOR;
2369 uint8_t rVol = PDMAUDIO_VOLUME_MAX - uCtlAttRight * AC97_DB_FACTOR;
2370
2371 Log(("-> fMuted=%RTbool, lVol=%RU8, rVol=%RU8\n", fCtlMuted, lVol, rVol));
2372
2373 int rc = VINF_SUCCESS;
2374
2375 if (pThisCC->pMixer) /* Device can be in reset state, so no mixer available. */
2376 {
2377 PDMAUDIOVOLUME Vol = { fCtlMuted, lVol, rVol };
2378 PAUDMIXSINK pSink = NULL;
2379
2380 switch (enmMixerCtl)
2381 {
2382 case PDMAUDIOMIXERCTL_VOLUME_MASTER:
2383 rc = AudioMixerSetMasterVolume(pThisCC->pMixer, &Vol);
2384 break;
2385
2386 case PDMAUDIOMIXERCTL_FRONT:
2387 pSink = pThisCC->pSinkOut;
2388 break;
2389
2390 case PDMAUDIOMIXERCTL_MIC_IN:
2391 case PDMAUDIOMIXERCTL_LINE_IN:
2392 /* These are recognized but do nothing. */
2393 break;
2394
2395 default:
2396 AssertFailed();
2397 rc = VERR_NOT_SUPPORTED;
2398 break;
2399 }
2400
2401 if (pSink)
2402 rc = AudioMixerSinkSetVolume(pSink, &Vol);
2403 }
2404
2405 ichac97MixerSet(pThis, index, uVal);
2406
2407 if (RT_FAILURE(rc))
2408 LogFlowFunc(("Failed with %Rrc\n", rc));
2409
2410 return rc;
2411}
2412
2413/**
2414 * Sets the gain of a specific AC'97 recording control.
2415 *
2416 * NB: gain support is currently not implemented in PDM audio.
2417 *
2418 * @returns IPRT status code.
2419 * @param pThis The shared AC'97 state.
2420 * @param pThisCC The ring-3 AC'97 state.
2421 * @param index AC'97 mixer index to set volume for.
2422 * @param enmMixerCtl Corresponding audio mixer sink.
2423 * @param uVal Volume value to set.
2424 */
2425static int ichac97R3MixerSetGain(PAC97STATE pThis, PAC97STATER3 pThisCC, int index, PDMAUDIOMIXERCTL enmMixerCtl, uint32_t uVal)
2426{
2427 /*
2428 * For AC'97 recording controls, each additional step means +1.5dB gain with
2429 * zero being 0dB gain and 15 being +22.5dB gain.
2430 */
2431 const bool fCtlMuted = (uVal >> AC97_BARS_VOL_MUTE_SHIFT) & 1;
2432 uint8_t uCtlGainLeft = (uVal >> 8) & AC97_BARS_GAIN_MASK;
2433 uint8_t uCtlGainRight = uVal & AC97_BARS_GAIN_MASK;
2434
2435 Assert(uCtlGainLeft <= 255 / AC97_DB_FACTOR);
2436 Assert(uCtlGainRight <= 255 / AC97_DB_FACTOR);
2437
2438 LogFunc(("index=0x%x, uVal=%RU32, enmMixerCtl=%RU32\n", index, uVal, enmMixerCtl));
2439 LogFunc(("uCtlGainLeft=%RU8, uCtlGainRight=%RU8 ", uCtlGainLeft, uCtlGainRight));
2440
2441 uint8_t lVol = PDMAUDIO_VOLUME_MAX + uCtlGainLeft * AC97_DB_FACTOR;
2442 uint8_t rVol = PDMAUDIO_VOLUME_MAX + uCtlGainRight * AC97_DB_FACTOR;
2443
2444 /* We do not currently support gain. Since AC'97 does not support attenuation
2445 * for the recording input, the best we can do is set the maximum volume.
2446 */
2447# ifndef VBOX_WITH_AC97_GAIN_SUPPORT
2448 /* NB: Currently there is no gain support, only attenuation. Since AC'97 does not
2449 * support attenuation for the recording inputs, the best we can do is set the
2450 * maximum volume.
2451 */
2452 lVol = rVol = PDMAUDIO_VOLUME_MAX;
2453# endif
2454
2455 Log(("-> fMuted=%RTbool, lVol=%RU8, rVol=%RU8\n", fCtlMuted, lVol, rVol));
2456
2457 int rc = VINF_SUCCESS;
2458
2459 if (pThisCC->pMixer) /* Device can be in reset state, so no mixer available. */
2460 {
2461 PDMAUDIOVOLUME Vol = { fCtlMuted, lVol, rVol };
2462 PAUDMIXSINK pSink = NULL;
2463
2464 switch (enmMixerCtl)
2465 {
2466 case PDMAUDIOMIXERCTL_MIC_IN:
2467 pSink = pThisCC->pSinkMicIn;
2468 break;
2469
2470 case PDMAUDIOMIXERCTL_LINE_IN:
2471 pSink = pThisCC->pSinkLineIn;
2472 break;
2473
2474 default:
2475 AssertFailed();
2476 rc = VERR_NOT_SUPPORTED;
2477 break;
2478 }
2479
2480 if (pSink) {
2481 rc = AudioMixerSinkSetVolume(pSink, &Vol);
2482 /* There is only one AC'97 recording gain control. If line in
2483 * is changed, also update the microphone. If the optional dedicated
2484 * microphone is changed, only change that.
2485 * NB: The codecs we support do not have the dedicated microphone control.
2486 */
2487 if ((pSink == pThisCC->pSinkLineIn) && pThisCC->pSinkMicIn)
2488 rc = AudioMixerSinkSetVolume(pSink, &Vol);
2489 }
2490 }
2491
2492 ichac97MixerSet(pThis, index, uVal);
2493
2494 if (RT_FAILURE(rc))
2495 LogFlowFunc(("Failed with %Rrc\n", rc));
2496
2497 return rc;
2498}
2499
2500/**
2501 * Converts an AC'97 recording source index to a PDM audio recording source.
2502 *
2503 * @returns PDM audio recording source.
2504 * @param uIdx AC'97 index to convert.
2505 */
2506static PDMAUDIORECSRC ichac97R3IdxToRecSource(uint8_t uIdx)
2507{
2508 switch (uIdx)
2509 {
2510 case AC97_REC_MIC: return PDMAUDIORECSRC_MIC;
2511 case AC97_REC_CD: return PDMAUDIORECSRC_CD;
2512 case AC97_REC_VIDEO: return PDMAUDIORECSRC_VIDEO;
2513 case AC97_REC_AUX: return PDMAUDIORECSRC_AUX;
2514 case AC97_REC_LINE_IN: return PDMAUDIORECSRC_LINE;
2515 case AC97_REC_PHONE: return PDMAUDIORECSRC_PHONE;
2516 default:
2517 break;
2518 }
2519
2520 LogFlowFunc(("Unknown record source %d, using MIC\n", uIdx));
2521 return PDMAUDIORECSRC_MIC;
2522}
2523
2524/**
2525 * Converts a PDM audio recording source to an AC'97 recording source index.
2526 *
2527 * @returns AC'97 recording source index.
2528 * @param enmRecSrc PDM audio recording source to convert.
2529 */
2530static uint8_t ichac97R3RecSourceToIdx(PDMAUDIORECSRC enmRecSrc)
2531{
2532 switch (enmRecSrc)
2533 {
2534 case PDMAUDIORECSRC_MIC: return AC97_REC_MIC;
2535 case PDMAUDIORECSRC_CD: return AC97_REC_CD;
2536 case PDMAUDIORECSRC_VIDEO: return AC97_REC_VIDEO;
2537 case PDMAUDIORECSRC_AUX: return AC97_REC_AUX;
2538 case PDMAUDIORECSRC_LINE: return AC97_REC_LINE_IN;
2539 case PDMAUDIORECSRC_PHONE: return AC97_REC_PHONE;
2540 default:
2541 break;
2542 }
2543
2544 LogFlowFunc(("Unknown audio recording source %d using MIC\n", enmRecSrc));
2545 return AC97_REC_MIC;
2546}
2547
2548/**
2549 * Returns the audio direction of a specified stream descriptor.
2550 *
2551 * @return Audio direction.
2552 */
2553DECLINLINE(PDMAUDIODIR) ichac97GetDirFromSD(uint8_t uSD)
2554{
2555 switch (uSD)
2556 {
2557 case AC97SOUNDSOURCE_PI_INDEX: return PDMAUDIODIR_IN;
2558 case AC97SOUNDSOURCE_PO_INDEX: return PDMAUDIODIR_OUT;
2559 case AC97SOUNDSOURCE_MC_INDEX: return PDMAUDIODIR_IN;
2560 }
2561
2562 AssertFailed();
2563 return PDMAUDIODIR_UNKNOWN;
2564}
2565
2566#endif /* IN_RING3 */
2567
2568#ifdef IN_RING3
2569
2570/**
2571 * Performs an AC'97 mixer record select to switch to a different recording
2572 * source.
2573 *
2574 * @param pThis The shared AC'97 state.
2575 * @param val AC'97 recording source index to set.
2576 */
2577static void ichac97R3MixerRecordSelect(PAC97STATE pThis, uint32_t val)
2578{
2579 uint8_t rs = val & AC97_REC_MASK;
2580 uint8_t ls = (val >> 8) & AC97_REC_MASK;
2581
2582 const PDMAUDIORECSRC ars = ichac97R3IdxToRecSource(rs);
2583 const PDMAUDIORECSRC als = ichac97R3IdxToRecSource(ls);
2584
2585 rs = ichac97R3RecSourceToIdx(ars);
2586 ls = ichac97R3RecSourceToIdx(als);
2587
2588 LogRel(("AC97: Record select to left=%s, right=%s\n", DrvAudioHlpRecSrcToStr(ars), DrvAudioHlpRecSrcToStr(als)));
2589
2590 ichac97MixerSet(pThis, AC97_Record_Select, rs | (ls << 8));
2591}
2592
2593/**
2594 * Resets the AC'97 mixer.
2595 *
2596 * @returns IPRT status code.
2597 * @param pThis The shared AC'97 state.
2598 * @param pThisCC The ring-3 AC'97 state.
2599 */
2600static int ichac97R3MixerReset(PAC97STATE pThis, PAC97STATER3 pThisCC)
2601{
2602 LogFlowFuncEnter();
2603
2604 RT_ZERO(pThis->mixer_data);
2605
2606 /* Note: Make sure to reset all registers first before bailing out on error. */
2607
2608 ichac97MixerSet(pThis, AC97_Reset , 0x0000); /* 6940 */
2609 ichac97MixerSet(pThis, AC97_Master_Volume_Mono_Mute , 0x8000);
2610 ichac97MixerSet(pThis, AC97_PC_BEEP_Volume_Mute , 0x0000);
2611
2612 ichac97MixerSet(pThis, AC97_Phone_Volume_Mute , 0x8008);
2613 ichac97MixerSet(pThis, AC97_Mic_Volume_Mute , 0x8008);
2614 ichac97MixerSet(pThis, AC97_CD_Volume_Mute , 0x8808);
2615 ichac97MixerSet(pThis, AC97_Aux_Volume_Mute , 0x8808);
2616 ichac97MixerSet(pThis, AC97_Record_Gain_Mic_Mute , 0x8000);
2617 ichac97MixerSet(pThis, AC97_General_Purpose , 0x0000);
2618 ichac97MixerSet(pThis, AC97_3D_Control , 0x0000);
2619 ichac97MixerSet(pThis, AC97_Powerdown_Ctrl_Stat , 0x000f);
2620
2621 /* Configure Extended Audio ID (EAID) + Control & Status (EACS) registers. */
2622 const uint16_t fEAID = AC97_EAID_REV1 | AC97_EACS_VRA | AC97_EACS_VRM; /* Our hardware is AC'97 rev2.3 compliant. */
2623 const uint16_t fEACS = AC97_EACS_VRA | AC97_EACS_VRM; /* Variable Rate PCM Audio (VRA) + Mic-In (VRM) capable. */
2624
2625 LogRel(("AC97: Mixer reset (EAID=0x%x, EACS=0x%x)\n", fEAID, fEACS));
2626
2627 ichac97MixerSet(pThis, AC97_Extended_Audio_ID, fEAID);
2628 ichac97MixerSet(pThis, AC97_Extended_Audio_Ctrl_Stat, fEACS);
2629 ichac97MixerSet(pThis, AC97_PCM_Front_DAC_Rate , 0xbb80 /* 48000 Hz by default */);
2630 ichac97MixerSet(pThis, AC97_PCM_Surround_DAC_Rate , 0xbb80 /* 48000 Hz by default */);
2631 ichac97MixerSet(pThis, AC97_PCM_LFE_DAC_Rate , 0xbb80 /* 48000 Hz by default */);
2632 ichac97MixerSet(pThis, AC97_PCM_LR_ADC_Rate , 0xbb80 /* 48000 Hz by default */);
2633 ichac97MixerSet(pThis, AC97_MIC_ADC_Rate , 0xbb80 /* 48000 Hz by default */);
2634
2635 if (pThis->enmCodecModel == AC97CODEC_AD1980)
2636 {
2637 /* Analog Devices 1980 (AD1980) */
2638 ichac97MixerSet(pThis, AC97_Reset , 0x0010); /* Headphones. */
2639 ichac97MixerSet(pThis, AC97_Vendor_ID1 , 0x4144);
2640 ichac97MixerSet(pThis, AC97_Vendor_ID2 , 0x5370);
2641 ichac97MixerSet(pThis, AC97_Headphone_Volume_Mute , 0x8000);
2642 }
2643 else if (pThis->enmCodecModel == AC97CODEC_AD1981B)
2644 {
2645 /* Analog Devices 1981B (AD1981B) */
2646 ichac97MixerSet(pThis, AC97_Vendor_ID1 , 0x4144);
2647 ichac97MixerSet(pThis, AC97_Vendor_ID2 , 0x5374);
2648 }
2649 else
2650 {
2651 /* Sigmatel 9700 (STAC9700) */
2652 ichac97MixerSet(pThis, AC97_Vendor_ID1 , 0x8384);
2653 ichac97MixerSet(pThis, AC97_Vendor_ID2 , 0x7600); /* 7608 */
2654 }
2655 ichac97R3MixerRecordSelect(pThis, 0);
2656
2657 /* The default value is 8000h, which corresponds to 0 dB attenuation with mute on. */
2658 ichac97R3MixerSetVolume(pThis, pThisCC, AC97_Master_Volume_Mute, PDMAUDIOMIXERCTL_VOLUME_MASTER, 0x8000);
2659
2660 /* The default value for stereo registers is 8808h, which corresponds to 0 dB gain with mute on.*/
2661 ichac97R3MixerSetVolume(pThis, pThisCC, AC97_PCM_Out_Volume_Mute, PDMAUDIOMIXERCTL_FRONT, 0x8808);
2662 ichac97R3MixerSetVolume(pThis, pThisCC, AC97_Line_In_Volume_Mute, PDMAUDIOMIXERCTL_LINE_IN, 0x8808);
2663 ichac97R3MixerSetVolume(pThis, pThisCC, AC97_Mic_Volume_Mute, PDMAUDIOMIXERCTL_MIC_IN, 0x8008);
2664
2665 /* The default for record controls is 0 dB gain with mute on. */
2666 ichac97R3MixerSetGain(pThis, pThisCC, AC97_Record_Gain_Mute, PDMAUDIOMIXERCTL_LINE_IN, 0x8000);
2667 ichac97R3MixerSetGain(pThis, pThisCC, AC97_Record_Gain_Mic_Mute, PDMAUDIOMIXERCTL_MIC_IN, 0x8000);
2668
2669 return VINF_SUCCESS;
2670}
2671
2672# if 0 /* Unused */
2673static void ichac97R3WriteBUP(PAC97STATE pThis, uint32_t cbElapsed)
2674{
2675 LogFlowFunc(("cbElapsed=%RU32\n", cbElapsed));
2676
2677 if (!(pThis->bup_flag & BUP_SET))
2678 {
2679 if (pThis->bup_flag & BUP_LAST)
2680 {
2681 unsigned int i;
2682 uint32_t *p = (uint32_t*)pThis->silence;
2683 for (i = 0; i < sizeof(pThis->silence) / 4; i++) /** @todo r=andy Assumes 16-bit samples, stereo. */
2684 *p++ = pThis->last_samp;
2685 }
2686 else
2687 RT_ZERO(pThis->silence);
2688
2689 pThis->bup_flag |= BUP_SET;
2690 }
2691
2692 while (cbElapsed)
2693 {
2694 uint32_t cbToWrite = RT_MIN(cbElapsed, (uint32_t)sizeof(pThis->silence));
2695 uint32_t cbWrittenToStream;
2696
2697 int rc2 = AudioMixerSinkWrite(pThisCC->pSinkOut, AUDMIXOP_COPY,
2698 pThis->silence, cbToWrite, &cbWrittenToStream);
2699 if (RT_SUCCESS(rc2))
2700 {
2701 if (cbWrittenToStream < cbToWrite) /* Lagging behind? */
2702 LogFlowFunc(("Warning: Only written %RU32 / %RU32 bytes, expect lags\n", cbWrittenToStream, cbToWrite));
2703 }
2704
2705 /* Always report all data as being written;
2706 * backends who were not able to catch up have to deal with it themselves. */
2707 Assert(cbElapsed >= cbToWrite);
2708 cbElapsed -= cbToWrite;
2709 }
2710}
2711# endif /* Unused */
2712
2713/**
2714 * @callback_method_impl{FNTMTIMERDEV,
2715 * Timer callback which handles the audio data transfers on a periodic basis.}
2716 */
2717static DECLCALLBACK(void) ichac97R3Timer(PPDMDEVINS pDevIns, PTMTIMER pTimer, void *pvUser)
2718{
2719 PAC97STATE pThis = PDMDEVINS_2_DATA(pDevIns, PAC97STATE);
2720 STAM_PROFILE_START(&pThis->StatTimer, a);
2721 PAC97STATER3 pThisCC = PDMDEVINS_2_DATA_CC(pDevIns, PAC97STATER3);
2722 PAC97STREAM pStream = (PAC97STREAM)pvUser;
2723 PAC97STREAMR3 pStreamCC = &RT_SAFE_SUBSCRIPT8(pThisCC->aStreams, pStream->u8SD);
2724 RT_NOREF(pTimer);
2725
2726 Assert(pStream - &pThis->aStreams[0] == pStream->u8SD);
2727 Assert(PDMDevHlpCritSectIsOwner(pDevIns, &pThis->CritSect));
2728 Assert(PDMDevHlpTimerIsLockOwner(pDevIns, pStream->hTimer));
2729
2730 ichac97R3StreamUpdate(pDevIns, pThis, pThisCC, pStream, pStreamCC, true /* fInTimer */);
2731
2732 PAUDMIXSINK pSink = ichac97R3IndexToSink(pThisCC, pStream->u8SD);
2733 if (pSink && AudioMixerSinkIsActive(pSink))
2734 {
2735 ichac97R3StreamTransferUpdate(pDevIns, pStream, pStreamCC, pStream->Regs.picb << 1); /** @todo r=andy Assumes 16-bit samples. */
2736 ichac97R3TimerSet(pDevIns, pStream, pStreamCC->State.cTransferTicks);
2737 }
2738
2739 STAM_PROFILE_STOP(&pThis->StatTimer, a);
2740}
2741
2742
2743/**
2744 * Sets the virtual device timer to a new expiration time.
2745 *
2746 * @param pDevIns The device instance.
2747 * @param pStream AC'97 stream to set timer for.
2748 * @param cTicksToDeadline The number of ticks to the new deadline.
2749 *
2750 * @remarks This used to be more complicated a long time ago...
2751 */
2752DECLINLINE(void) ichac97R3TimerSet(PPDMDEVINS pDevIns, PAC97STREAM pStream, uint64_t cTicksToDeadline)
2753{
2754 int rc = PDMDevHlpTimerSetRelative(pDevIns, pStream->hTimer, cTicksToDeadline, NULL /*pu64Now*/);
2755 AssertRC(rc);
2756}
2757
2758
2759/**
2760 * Transfers data of an AC'97 stream according to its usage (input / output).
2761 *
2762 * For an SDO (output) stream this means reading DMA data from the device to
2763 * the AC'97 stream's internal FIFO buffer.
2764 *
2765 * For an SDI (input) stream this is reading audio data from the AC'97 stream's
2766 * internal FIFO buffer and writing it as DMA data to the device.
2767 *
2768 * @returns IPRT status code.
2769 * @param pDevIns The device instance.
2770 * @param pThis The shared AC'97 state.
2771 * @param pStream The AC'97 stream to update (shared).
2772 * @param pStreamCC The AC'97 stream to update (ring-3).
2773 * @param cbToProcessMax Maximum of data (in bytes) to process.
2774 */
2775static int ichac97R3StreamTransfer(PPDMDEVINS pDevIns, PAC97STATE pThis, PAC97STREAM pStream,
2776 PAC97STREAMR3 pStreamCC, uint32_t cbToProcessMax)
2777{
2778 if (!cbToProcessMax)
2779 return VINF_SUCCESS;
2780
2781#ifdef VBOX_STRICT
2782 const unsigned cbFrame = DrvAudioHlpPCMPropsBytesPerFrame(&pStreamCC->State.Cfg.Props);
2783#endif
2784
2785 /* Make sure to only process an integer number of audio frames. */
2786 Assert(cbToProcessMax % cbFrame == 0);
2787
2788 ichac97R3StreamLock(pStreamCC);
2789
2790 PAC97BMREGS pRegs = &pStream->Regs;
2791
2792 if (pRegs->sr & AC97_SR_DCH) /* Controller halted? */
2793 {
2794 if (pRegs->cr & AC97_CR_RPBM) /* Bus master operation starts. */
2795 {
2796 switch (pStream->u8SD)
2797 {
2798 case AC97SOUNDSOURCE_PO_INDEX:
2799 /*ichac97R3WriteBUP(pThis, cbToProcess);*/
2800 break;
2801
2802 default:
2803 break;
2804 }
2805 }
2806
2807 ichac97R3StreamUnlock(pStreamCC);
2808 return VINF_SUCCESS;
2809 }
2810
2811 /* BCIS flag still set? Skip iteration. */
2812 if (pRegs->sr & AC97_SR_BCIS)
2813 {
2814 Log3Func(("[SD%RU8] BCIS set\n", pStream->u8SD));
2815
2816 ichac97R3StreamUnlock(pStreamCC);
2817 return VINF_SUCCESS;
2818 }
2819
2820 uint32_t cbLeft = RT_MIN((uint32_t)(pRegs->picb << 1), cbToProcessMax); /** @todo r=andy Assumes 16bit samples. */
2821 uint32_t cbProcessedTotal = 0;
2822
2823 PRTCIRCBUF pCircBuf = pStreamCC->State.pCircBuf;
2824 AssertPtr(pCircBuf);
2825
2826 int rc = VINF_SUCCESS;
2827
2828 Log3Func(("[SD%RU8] cbToProcessMax=%RU32, cbLeft=%RU32\n", pStream->u8SD, cbToProcessMax, cbLeft));
2829
2830 while (cbLeft)
2831 {
2832 if (!pRegs->picb) /* Got a new buffer descriptor, that is, the position is 0? */
2833 {
2834 Log3Func(("Fresh buffer descriptor %RU8 is empty, addr=%#x, len=%#x, skipping\n",
2835 pRegs->civ, pRegs->bd.addr, pRegs->bd.ctl_len));
2836 if (pRegs->civ == pRegs->lvi)
2837 {
2838 pRegs->sr |= AC97_SR_DCH; /** @todo r=andy Also set CELV? */
2839 pThis->bup_flag = 0;
2840
2841 rc = VINF_EOF;
2842 break;
2843 }
2844
2845 pRegs->sr &= ~AC97_SR_CELV;
2846 pRegs->civ = pRegs->piv;
2847 pRegs->piv = (pRegs->piv + 1) % AC97_MAX_BDLE;
2848
2849 ichac97R3StreamFetchBDLE(pDevIns, pStream);
2850 continue;
2851 }
2852
2853 uint32_t cbChunk = cbLeft;
2854
2855 switch (pStream->u8SD)
2856 {
2857 case AC97SOUNDSOURCE_PO_INDEX: /* Output */
2858 {
2859 void *pvDst;
2860 size_t cbDst;
2861
2862 RTCircBufAcquireWriteBlock(pCircBuf, cbChunk, &pvDst, &cbDst);
2863
2864 if (cbDst)
2865 {
2866 int rc2 = PDMDevHlpPhysRead(pDevIns, pRegs->bd.addr, (uint8_t *)pvDst, cbDst);
2867 AssertRC(rc2);
2868
2869 if (RT_LIKELY(!pStreamCC->Dbg.Runtime.fEnabled))
2870 { /* likely */ }
2871 else
2872 DrvAudioHlpFileWrite(pStreamCC->Dbg.Runtime.pFileDMA, pvDst, cbDst, 0 /* fFlags */);
2873 }
2874
2875 RTCircBufReleaseWriteBlock(pCircBuf, cbDst);
2876
2877 cbChunk = (uint32_t)cbDst; /* Update the current chunk size to what really has been written. */
2878 break;
2879 }
2880
2881 case AC97SOUNDSOURCE_PI_INDEX: /* Input */
2882 case AC97SOUNDSOURCE_MC_INDEX: /* Input */
2883 {
2884 void *pvSrc;
2885 size_t cbSrc;
2886
2887 RTCircBufAcquireReadBlock(pCircBuf, cbChunk, &pvSrc, &cbSrc);
2888
2889 if (cbSrc)
2890 {
2891/** @todo r=bird: Just curious, DevHDA uses PDMDevHlpPCIPhysWrite here. So,
2892 * is AC97 not subject to PCI busmaster enable/disable? */
2893 int rc2 = PDMDevHlpPhysWrite(pDevIns, pRegs->bd.addr, (uint8_t *)pvSrc, cbSrc);
2894 AssertRC(rc2);
2895
2896 if (RT_LIKELY(!pStreamCC->Dbg.Runtime.fEnabled))
2897 { /* likely */ }
2898 else
2899 DrvAudioHlpFileWrite(pStreamCC->Dbg.Runtime.pFileDMA, pvSrc, cbSrc, 0 /* fFlags */);
2900 }
2901
2902 RTCircBufReleaseReadBlock(pCircBuf, cbSrc);
2903
2904 cbChunk = (uint32_t)cbSrc; /* Update the current chunk size to what really has been read. */
2905 break;
2906 }
2907
2908 default:
2909 AssertMsgFailed(("Stream #%RU8 not supported\n", pStream->u8SD));
2910 rc = VERR_NOT_SUPPORTED;
2911 break;
2912 }
2913
2914 if (RT_FAILURE(rc))
2915 break;
2916
2917 if (cbChunk)
2918 {
2919 cbProcessedTotal += cbChunk;
2920 Assert(cbProcessedTotal <= cbToProcessMax);
2921 Assert(cbLeft >= cbChunk);
2922 cbLeft -= cbChunk;
2923 Assert((cbChunk & 1) == 0); /* Else the following shift won't work */
2924
2925 pRegs->picb -= (cbChunk >> 1); /** @todo r=andy Assumes 16bit samples. */
2926 pRegs->bd.addr += cbChunk;
2927 }
2928
2929 LogFlowFunc(("[SD%RU8] cbChunk=%RU32, cbLeft=%RU32, cbTotal=%RU32, rc=%Rrc\n",
2930 pStream->u8SD, cbChunk, cbLeft, cbProcessedTotal, rc));
2931
2932 if (!pRegs->picb)
2933 {
2934 uint32_t new_sr = pRegs->sr & ~AC97_SR_CELV;
2935
2936 if (pRegs->bd.ctl_len & AC97_BD_IOC)
2937 {
2938 new_sr |= AC97_SR_BCIS;
2939 }
2940
2941 if (pRegs->civ == pRegs->lvi)
2942 {
2943 /* Did we run out of data? */
2944 LogFunc(("Underrun CIV (%RU8) == LVI (%RU8)\n", pRegs->civ, pRegs->lvi));
2945
2946 new_sr |= AC97_SR_LVBCI | AC97_SR_DCH | AC97_SR_CELV;
2947 pThis->bup_flag = (pRegs->bd.ctl_len & AC97_BD_BUP) ? BUP_LAST : 0;
2948
2949 rc = VINF_EOF;
2950 }
2951 else
2952 {
2953 pRegs->civ = pRegs->piv;
2954 pRegs->piv = (pRegs->piv + 1) % AC97_MAX_BDLE;
2955 ichac97R3StreamFetchBDLE(pDevIns, pStream);
2956 }
2957
2958 ichac97StreamUpdateSR(pDevIns, pThis, pStream, new_sr);
2959 }
2960
2961 if (/* All data processed? */
2962 rc == VINF_EOF
2963 /* ... or an error occurred? */
2964 || RT_FAILURE(rc))
2965 {
2966 break;
2967 }
2968 }
2969
2970 ichac97R3StreamUnlock(pStreamCC);
2971
2972 LogFlowFuncLeaveRC(rc);
2973 return rc;
2974}
2975
2976#endif /* IN_RING3 */
2977
2978
2979/**
2980 * @callback_method_impl{FNIOMIOPORTNEWIN}
2981 */
2982static DECLCALLBACK(VBOXSTRICTRC)
2983ichac97IoPortNabmRead(PPDMDEVINS pDevIns, void *pvUser, RTIOPORT offPort, uint32_t *pu32, unsigned cb)
2984{
2985 PAC97STATE pThis = PDMDEVINS_2_DATA(pDevIns, PAC97STATE);
2986 RT_NOREF(pvUser);
2987
2988 DEVAC97_LOCK_RETURN(pDevIns, pThis, VINF_IOM_R3_IOPORT_READ);
2989
2990 /* Get the index of the NABMBAR port. */
2991 if ( AC97_PORT2IDX_UNMASKED(offPort) < AC97_MAX_STREAMS
2992 && offPort != AC97_GLOB_CNT)
2993 {
2994 PAC97STREAM pStream = &pThis->aStreams[AC97_PORT2IDX(offPort)];
2995 PAC97BMREGS pRegs = &pStream->Regs;
2996
2997 switch (cb)
2998 {
2999 case 1:
3000 switch (offPort & AC97_NABM_OFF_MASK)
3001 {
3002 case AC97_NABM_OFF_CIV:
3003 /* Current Index Value Register */
3004 *pu32 = pRegs->civ;
3005 Log3Func(("CIV[%d] -> %#x\n", AC97_PORT2IDX(offPort), *pu32));
3006 break;
3007 case AC97_NABM_OFF_LVI:
3008 /* Last Valid Index Register */
3009 *pu32 = pRegs->lvi;
3010 Log3Func(("LVI[%d] -> %#x\n", AC97_PORT2IDX(offPort), *pu32));
3011 break;
3012 case AC97_NABM_OFF_PIV:
3013 /* Prefetched Index Value Register */
3014 *pu32 = pRegs->piv;
3015 Log3Func(("PIV[%d] -> %#x\n", AC97_PORT2IDX(offPort), *pu32));
3016 break;
3017 case AC97_NABM_OFF_CR:
3018 /* Control Register */
3019 *pu32 = pRegs->cr;
3020 Log3Func(("CR[%d] -> %#x\n", AC97_PORT2IDX(offPort), *pu32));
3021 break;
3022 case AC97_NABM_OFF_SR:
3023 /* Status Register (lower part) */
3024 *pu32 = RT_LO_U8(pRegs->sr);
3025 Log3Func(("SRb[%d] -> %#x\n", AC97_PORT2IDX(offPort), *pu32));
3026 break;
3027 default:
3028 *pu32 = UINT32_MAX;
3029 LogFunc(("U nabm readb %#x -> %#x\n", offPort, UINT32_MAX));
3030 STAM_REL_COUNTER_INC(&pThis->StatUnimplementedNabmReads);
3031 break;
3032 }
3033 break;
3034
3035 case 2:
3036 switch (offPort & AC97_NABM_OFF_MASK)
3037 {
3038 case AC97_NABM_OFF_SR:
3039 /* Status Register */
3040 *pu32 = pRegs->sr;
3041 Log3Func(("SR[%d] -> %#x\n", AC97_PORT2IDX(offPort), *pu32));
3042 break;
3043 case AC97_NABM_OFF_PICB:
3044 /* Position in Current Buffer */
3045 *pu32 = pRegs->picb;
3046 Log3Func(("PICB[%d] -> %#x\n", AC97_PORT2IDX(offPort), *pu32));
3047 break;
3048 default:
3049 *pu32 = UINT32_MAX;
3050 LogFunc(("U nabm readw %#x -> %#x\n", offPort, UINT32_MAX));
3051 STAM_REL_COUNTER_INC(&pThis->StatUnimplementedNabmReads);
3052 break;
3053 }
3054 break;
3055
3056 case 4:
3057 switch (offPort & AC97_NABM_OFF_MASK)
3058 {
3059 case AC97_NABM_OFF_BDBAR:
3060 /* Buffer Descriptor Base Address Register */
3061 *pu32 = pRegs->bdbar;
3062 Log3Func(("BMADDR[%d] -> %#x\n", AC97_PORT2IDX(offPort), *pu32));
3063 break;
3064 case AC97_NABM_OFF_CIV:
3065 /* 32-bit access: Current Index Value Register +
3066 * Last Valid Index Register +
3067 * Status Register */
3068 *pu32 = pRegs->civ | (pRegs->lvi << 8) | (pRegs->sr << 16); /** @todo r=andy Use RT_MAKE_U32_FROM_U8. */
3069 Log3Func(("CIV LVI SR[%d] -> %#x, %#x, %#x\n",
3070 AC97_PORT2IDX(offPort), pRegs->civ, pRegs->lvi, pRegs->sr));
3071 break;
3072 case AC97_NABM_OFF_PICB:
3073 /* 32-bit access: Position in Current Buffer Register +
3074 * Prefetched Index Value Register +
3075 * Control Register */
3076 *pu32 = pRegs->picb | (pRegs->piv << 16) | (pRegs->cr << 24); /** @todo r=andy Use RT_MAKE_U32_FROM_U8. */
3077 Log3Func(("PICB PIV CR[%d] -> %#x %#x %#x %#x\n",
3078 AC97_PORT2IDX(offPort), *pu32, pRegs->picb, pRegs->piv, pRegs->cr));
3079 break;
3080
3081 default:
3082 *pu32 = UINT32_MAX;
3083 LogFunc(("U nabm readl %#x -> %#x\n", offPort, UINT32_MAX));
3084 STAM_REL_COUNTER_INC(&pThis->StatUnimplementedNabmReads);
3085 break;
3086 }
3087 break;
3088
3089 default:
3090 DEVAC97_UNLOCK(pDevIns, pThis);
3091 AssertFailed();
3092 return VERR_IOM_IOPORT_UNUSED;
3093 }
3094 }
3095 else
3096 {
3097 switch (cb)
3098 {
3099 case 1:
3100 switch (offPort)
3101 {
3102 case AC97_CAS:
3103 /* Codec Access Semaphore Register */
3104 Log3Func(("CAS %d\n", pThis->cas));
3105 *pu32 = pThis->cas;
3106 pThis->cas = 1;
3107 break;
3108 default:
3109 *pu32 = UINT32_MAX;
3110 LogFunc(("U nabm readb %#x -> %#x\n", offPort, UINT32_MAX));
3111 STAM_REL_COUNTER_INC(&pThis->StatUnimplementedNabmReads);
3112 break;
3113 }
3114 break;
3115
3116 case 2:
3117 *pu32 = UINT32_MAX;
3118 LogFunc(("U nabm readw %#x -> %#x\n", offPort, UINT32_MAX));
3119 STAM_REL_COUNTER_INC(&pThis->StatUnimplementedNabmReads);
3120 break;
3121
3122 case 4:
3123 switch (offPort)
3124 {
3125 case AC97_GLOB_CNT:
3126 /* Global Control */
3127 *pu32 = pThis->glob_cnt;
3128 Log3Func(("glob_cnt -> %#x\n", *pu32));
3129 break;
3130 case AC97_GLOB_STA:
3131 /* Global Status */
3132 *pu32 = pThis->glob_sta | AC97_GS_S0CR;
3133 Log3Func(("glob_sta -> %#x\n", *pu32));
3134 break;
3135 default:
3136 *pu32 = UINT32_MAX;
3137 LogFunc(("U nabm readl %#x -> %#x\n", offPort, UINT32_MAX));
3138 STAM_REL_COUNTER_INC(&pThis->StatUnimplementedNabmReads);
3139 break;
3140 }
3141 break;
3142
3143 default:
3144 DEVAC97_UNLOCK(pDevIns, pThis);
3145 AssertFailed();
3146 return VERR_IOM_IOPORT_UNUSED;
3147 }
3148 }
3149
3150 DEVAC97_UNLOCK(pDevIns, pThis);
3151 return VINF_SUCCESS;
3152}
3153
3154/**
3155 * @callback_method_impl{FNIOMIOPORTNEWOUT}
3156 */
3157static DECLCALLBACK(VBOXSTRICTRC)
3158ichac97IoPortNabmWrite(PPDMDEVINS pDevIns, void *pvUser, RTIOPORT offPort, uint32_t u32, unsigned cb)
3159{
3160 PAC97STATE pThis = PDMDEVINS_2_DATA(pDevIns, PAC97STATE);
3161#ifdef IN_RING3
3162 PAC97STATER3 pThisCC = PDMDEVINS_2_DATA_CC(pDevIns, PAC97STATER3);
3163#endif
3164 RT_NOREF(pvUser);
3165
3166 VBOXSTRICTRC rc = VINF_SUCCESS;
3167 if ( AC97_PORT2IDX_UNMASKED(offPort) < AC97_MAX_STREAMS
3168 && offPort != AC97_GLOB_CNT)
3169 {
3170#ifdef IN_RING3
3171 PAC97STREAMR3 pStreamCC = &pThisCC->aStreams[AC97_PORT2IDX(offPort)];
3172#endif
3173 PAC97STREAM pStream = &pThis->aStreams[AC97_PORT2IDX(offPort)];
3174 PAC97BMREGS pRegs = &pStream->Regs;
3175
3176 DEVAC97_LOCK_BOTH_RETURN(pDevIns, pThis, pStream, VINF_IOM_R3_IOPORT_WRITE);
3177 switch (cb)
3178 {
3179 case 1:
3180 switch (offPort & AC97_NABM_OFF_MASK)
3181 {
3182 /*
3183 * Last Valid Index.
3184 */
3185 case AC97_NABM_OFF_LVI:
3186 if ( (pRegs->cr & AC97_CR_RPBM)
3187 && (pRegs->sr & AC97_SR_DCH))
3188 {
3189#ifdef IN_RING3
3190 pRegs->sr &= ~(AC97_SR_DCH | AC97_SR_CELV);
3191 pRegs->civ = pRegs->piv;
3192 pRegs->piv = (pRegs->piv + 1) % AC97_MAX_BDLE;
3193#else
3194 rc = VINF_IOM_R3_IOPORT_WRITE;
3195#endif
3196 }
3197 pRegs->lvi = u32 % AC97_MAX_BDLE;
3198 Log3Func(("[SD%RU8] LVI <- %#x\n", pStream->u8SD, u32));
3199 break;
3200
3201 /*
3202 * Control Registers.
3203 */
3204 case AC97_NABM_OFF_CR:
3205#ifdef IN_RING3
3206 Log3Func(("[SD%RU8] CR <- %#x (cr %#x)\n", pStream->u8SD, u32, pRegs->cr));
3207 if (u32 & AC97_CR_RR) /* Busmaster reset. */
3208 {
3209 Log3Func(("[SD%RU8] Reset\n", pStream->u8SD));
3210
3211 /* Make sure that Run/Pause Bus Master bit (RPBM) is cleared (0). */
3212 Assert((pRegs->cr & AC97_CR_RPBM) == 0);
3213
3214 ichac97R3StreamEnable(pThis, pThisCC, pStream, pStreamCC, false /* fEnable */);
3215 ichac97R3StreamReset(pThis, pStream, pStreamCC);
3216
3217 ichac97StreamUpdateSR(pDevIns, pThis, pStream, AC97_SR_DCH); /** @todo Do we need to do that? */
3218 }
3219 else
3220 {
3221 pRegs->cr = u32 & AC97_CR_VALID_MASK;
3222
3223 if (!(pRegs->cr & AC97_CR_RPBM))
3224 {
3225 Log3Func(("[SD%RU8] Disable\n", pStream->u8SD));
3226
3227 ichac97R3StreamEnable(pThis, pThisCC, pStream, pStreamCC, false /* fEnable */);
3228
3229 pRegs->sr |= AC97_SR_DCH;
3230 }
3231 else
3232 {
3233 Log3Func(("[SD%RU8] Enable\n", pStream->u8SD));
3234
3235 pRegs->civ = pRegs->piv;
3236 pRegs->piv = (pRegs->piv + 1) % AC97_MAX_BDLE;
3237
3238 pRegs->sr &= ~AC97_SR_DCH;
3239
3240 /* Fetch the initial BDLE descriptor. */
3241 ichac97R3StreamFetchBDLE(pDevIns, pStream);
3242# ifdef LOG_ENABLED
3243 ichac97R3BDLEDumpAll(pDevIns, pStream->Regs.bdbar, pStream->Regs.lvi + 1);
3244# endif
3245 ichac97R3StreamEnable(pThis, pThisCC, pStream, pStreamCC, true /* fEnable */);
3246
3247 /* Arm the timer for this stream. */
3248 /** @todo r=bird: This function returns bool, not VBox status! */
3249 ichac97R3TimerSet(pDevIns, pStream, pStreamCC->State.cTransferTicks);
3250 }
3251 }
3252#else /* !IN_RING3 */
3253 rc = VINF_IOM_R3_IOPORT_WRITE;
3254#endif
3255 break;
3256
3257 /*
3258 * Status Registers.
3259 */
3260 case AC97_NABM_OFF_SR:
3261 ichac97StreamWriteSR(pDevIns, pThis, pStream, u32);
3262 break;
3263
3264 default:
3265 LogRel2(("AC97: Warning: Unimplemented NABMWrite offPort=%#x <- %#x LB 1\n", offPort, u32));
3266 STAM_REL_COUNTER_INC(&pThis->StatUnimplementedNabmWrites);
3267 break;
3268 }
3269 break;
3270
3271 case 2:
3272 switch (offPort & AC97_NABM_OFF_MASK)
3273 {
3274 case AC97_NABM_OFF_SR:
3275 ichac97StreamWriteSR(pDevIns, pThis, pStream, u32);
3276 break;
3277 default:
3278 LogRel2(("AC97: Warning: Unimplemented NABMWrite offPort=%#x <- %#x LB 2\n", offPort, u32));
3279 STAM_REL_COUNTER_INC(&pThis->StatUnimplementedNabmWrites);
3280 break;
3281 }
3282 break;
3283
3284 case 4:
3285 switch (offPort & AC97_NABM_OFF_MASK)
3286 {
3287 case AC97_NABM_OFF_BDBAR:
3288 /* Buffer Descriptor list Base Address Register */
3289 pRegs->bdbar = u32 & ~3;
3290 Log3Func(("[SD%RU8] BDBAR <- %#x (bdbar %#x)\n", AC97_PORT2IDX(offPort), u32, pRegs->bdbar));
3291 break;
3292 default:
3293 LogRel2(("AC97: Warning: Unimplemented NABMWrite offPort=%#x <- %#x LB 4\n", offPort, u32));
3294 STAM_REL_COUNTER_INC(&pThis->StatUnimplementedNabmWrites);
3295 break;
3296 }
3297 break;
3298
3299 default:
3300 AssertMsgFailed(("offPort=%#x <- %#x LB %u\n", offPort, u32, cb));
3301 break;
3302 }
3303 DEVAC97_UNLOCK_BOTH(pDevIns, pThis, pStream);
3304 }
3305 else
3306 {
3307 switch (cb)
3308 {
3309 case 1:
3310 LogRel2(("AC97: Warning: Unimplemented NABMWrite offPort=%#x <- %#x LB 1\n", offPort, u32));
3311 STAM_REL_COUNTER_INC(&pThis->StatUnimplementedNabmWrites);
3312 break;
3313
3314 case 2:
3315 LogRel2(("AC97: Warning: Unimplemented NABMWrite offPort=%#x <- %#x LB 2\n", offPort, u32));
3316 STAM_REL_COUNTER_INC(&pThis->StatUnimplementedNabmWrites);
3317 break;
3318
3319 case 4:
3320 switch (offPort)
3321 {
3322 case AC97_GLOB_CNT:
3323 /* Global Control */
3324 DEVAC97_LOCK_RETURN(pDevIns, pThis, VINF_IOM_R3_IOPORT_WRITE);
3325 if (u32 & AC97_GC_WR)
3326 ichac97WarmReset(pThis);
3327 if (u32 & AC97_GC_CR)
3328 ichac97ColdReset(pThis);
3329 if (!(u32 & (AC97_GC_WR | AC97_GC_CR)))
3330 pThis->glob_cnt = u32 & AC97_GC_VALID_MASK;
3331 Log3Func(("glob_cnt <- %#x (glob_cnt %#x)\n", u32, pThis->glob_cnt));
3332 DEVAC97_UNLOCK(pDevIns, pThis);
3333 break;
3334 case AC97_GLOB_STA:
3335 /* Global Status */
3336 DEVAC97_LOCK_RETURN(pDevIns, pThis, VINF_IOM_R3_IOPORT_WRITE);
3337 pThis->glob_sta &= ~(u32 & AC97_GS_WCLEAR_MASK);
3338 pThis->glob_sta |= (u32 & ~(AC97_GS_WCLEAR_MASK | AC97_GS_RO_MASK)) & AC97_GS_VALID_MASK;
3339 Log3Func(("glob_sta <- %#x (glob_sta %#x)\n", u32, pThis->glob_sta));
3340 DEVAC97_UNLOCK(pDevIns, pThis);
3341 break;
3342 default:
3343 LogRel2(("AC97: Warning: Unimplemented NABMWrite offPort=%#x <- %#x LB 4\n", offPort, u32));
3344 STAM_REL_COUNTER_INC(&pThis->StatUnimplementedNabmWrites);
3345 break;
3346 }
3347 break;
3348
3349 default:
3350 AssertMsgFailed(("offPort=%#x <- %#x LB %u\n", offPort, u32, cb));
3351 break;
3352 }
3353 }
3354
3355 return rc;
3356}
3357
3358/**
3359 * @callback_method_impl{FNIOMIOPORTNEWIN}
3360 */
3361static DECLCALLBACK(VBOXSTRICTRC)
3362ichac97IoPortNamRead(PPDMDEVINS pDevIns, void *pvUser, RTIOPORT offPort, uint32_t *pu32, unsigned cb)
3363{
3364 PAC97STATE pThis = PDMDEVINS_2_DATA(pDevIns, PAC97STATE);
3365 RT_NOREF(pvUser);
3366 Assert(offPort < 256);
3367
3368 DEVAC97_LOCK_RETURN(pDevIns, pThis, VINF_IOM_R3_IOPORT_READ);
3369
3370 VBOXSTRICTRC rc = VINF_SUCCESS;
3371 switch (cb)
3372 {
3373 case 1:
3374 {
3375 LogRel2(("AC97: Warning: Unimplemented read (1 byte) offPort=%#x\n", offPort));
3376 pThis->cas = 0;
3377 *pu32 = UINT32_MAX;
3378 break;
3379 }
3380
3381 case 2:
3382 {
3383 pThis->cas = 0;
3384 *pu32 = ichac97MixerGet(pThis, offPort);
3385 break;
3386 }
3387
3388 case 4:
3389 {
3390 LogRel2(("AC97: Warning: Unimplemented read (4 bytes) offPort=%#x\n", offPort));
3391 pThis->cas = 0;
3392 *pu32 = UINT32_MAX;
3393 break;
3394 }
3395
3396 default:
3397 {
3398 AssertFailed();
3399 rc = VERR_IOM_IOPORT_UNUSED;
3400 }
3401 }
3402
3403 DEVAC97_UNLOCK(pDevIns, pThis);
3404 return rc;
3405}
3406
3407/**
3408 * @callback_method_impl{FNIOMIOPORTNEWOUT}
3409 */
3410static DECLCALLBACK(VBOXSTRICTRC)
3411ichac97IoPortNamWrite(PPDMDEVINS pDevIns, void *pvUser, RTIOPORT offPort, uint32_t u32, unsigned cb)
3412{
3413 PAC97STATE pThis = PDMDEVINS_2_DATA(pDevIns, PAC97STATE);
3414#ifdef IN_RING3
3415 PAC97STATER3 pThisCC = PDMDEVINS_2_DATA_CC(pDevIns, PAC97STATER3);
3416#endif
3417 RT_NOREF(pvUser);
3418
3419 DEVAC97_LOCK_RETURN(pDevIns, pThis, VINF_IOM_R3_IOPORT_WRITE);
3420
3421 VBOXSTRICTRC rc = VINF_SUCCESS;
3422 switch (cb)
3423 {
3424 case 1:
3425 {
3426 LogRel2(("AC97: Warning: Unimplemented NAMWrite (1 byte) offPort=%#x <- %#x\n", offPort, u32));
3427 pThis->cas = 0;
3428 break;
3429 }
3430
3431 case 2:
3432 {
3433 pThis->cas = 0;
3434 switch (offPort)
3435 {
3436 case AC97_Reset:
3437#ifdef IN_RING3
3438 ichac97R3Reset(pDevIns);
3439#else
3440 rc = VINF_IOM_R3_IOPORT_WRITE;
3441#endif
3442 break;
3443 case AC97_Powerdown_Ctrl_Stat:
3444 u32 &= ~0xf;
3445 u32 |= ichac97MixerGet(pThis, offPort) & 0xf;
3446 ichac97MixerSet(pThis, offPort, u32);
3447 break;
3448 case AC97_Master_Volume_Mute:
3449 if (pThis->enmCodecModel == AC97CODEC_AD1980)
3450 {
3451 if (ichac97MixerGet(pThis, AC97_AD_Misc) & AC97_AD_MISC_LOSEL)
3452 break; /* Register controls surround (rear), do nothing. */
3453 }
3454#ifdef IN_RING3
3455 ichac97R3MixerSetVolume(pThis, pThisCC, offPort, PDMAUDIOMIXERCTL_VOLUME_MASTER, u32);
3456#else
3457 rc = VINF_IOM_R3_IOPORT_WRITE;
3458#endif
3459 break;
3460 case AC97_Headphone_Volume_Mute:
3461 if (pThis->enmCodecModel == AC97CODEC_AD1980)
3462 {
3463 if (ichac97MixerGet(pThis, AC97_AD_Misc) & AC97_AD_MISC_HPSEL)
3464 {
3465 /* Register controls PCM (front) outputs. */
3466#ifdef IN_RING3
3467 ichac97R3MixerSetVolume(pThis, pThisCC, offPort, PDMAUDIOMIXERCTL_VOLUME_MASTER, u32);
3468#else
3469 rc = VINF_IOM_R3_IOPORT_WRITE;
3470#endif
3471 }
3472 }
3473 break;
3474 case AC97_PCM_Out_Volume_Mute:
3475#ifdef IN_RING3
3476 ichac97R3MixerSetVolume(pThis, pThisCC, offPort, PDMAUDIOMIXERCTL_FRONT, u32);
3477#else
3478 rc = VINF_IOM_R3_IOPORT_WRITE;
3479#endif
3480 break;
3481 case AC97_Line_In_Volume_Mute:
3482#ifdef IN_RING3
3483 ichac97R3MixerSetVolume(pThis, pThisCC, offPort, PDMAUDIOMIXERCTL_LINE_IN, u32);
3484#else
3485 rc = VINF_IOM_R3_IOPORT_WRITE;
3486#endif
3487 break;
3488 case AC97_Record_Select:
3489#ifdef IN_RING3
3490 ichac97R3MixerRecordSelect(pThis, u32);
3491#else
3492 rc = VINF_IOM_R3_IOPORT_WRITE;
3493#endif
3494 break;
3495 case AC97_Record_Gain_Mute:
3496#ifdef IN_RING3
3497 /* Newer Ubuntu guests rely on that when controlling gain and muting
3498 * the recording (capturing) levels. */
3499 ichac97R3MixerSetGain(pThis, pThisCC, offPort, PDMAUDIOMIXERCTL_LINE_IN, u32);
3500#else
3501 rc = VINF_IOM_R3_IOPORT_WRITE;
3502#endif
3503 break;
3504 case AC97_Record_Gain_Mic_Mute:
3505#ifdef IN_RING3
3506 /* Ditto; see note above. */
3507 ichac97R3MixerSetGain(pThis, pThisCC, offPort, PDMAUDIOMIXERCTL_MIC_IN, u32);
3508#else
3509 rc = VINF_IOM_R3_IOPORT_WRITE;
3510#endif
3511 break;
3512 case AC97_Vendor_ID1:
3513 case AC97_Vendor_ID2:
3514 LogFunc(("Attempt to write vendor ID to %#x\n", u32));
3515 break;
3516 case AC97_Extended_Audio_ID:
3517 LogFunc(("Attempt to write extended audio ID to %#x\n", u32));
3518 break;
3519 case AC97_Extended_Audio_Ctrl_Stat:
3520#ifdef IN_RING3
3521 /*
3522 * Handle VRA bits.
3523 */
3524 if (!(u32 & AC97_EACS_VRA)) /* Check if VRA bit is not set. */
3525 {
3526 ichac97MixerSet(pThis, AC97_PCM_Front_DAC_Rate, 0xbb80); /* Set default (48000 Hz). */
3527 ichac97R3StreamReOpen(pThis, pThisCC, &pThis->aStreams[AC97SOUNDSOURCE_PO_INDEX],
3528 &pThisCC->aStreams[AC97SOUNDSOURCE_PO_INDEX], true /* fForce */);
3529
3530 ichac97MixerSet(pThis, AC97_PCM_LR_ADC_Rate, 0xbb80); /* Set default (48000 Hz). */
3531 ichac97R3StreamReOpen(pThis, pThisCC, &pThis->aStreams[AC97SOUNDSOURCE_PI_INDEX],
3532 &pThisCC->aStreams[AC97SOUNDSOURCE_PI_INDEX], true /* fForce */);
3533 }
3534 else
3535 LogRel2(("AC97: Variable rate audio (VRA) is not supported\n"));
3536
3537 /*
3538 * Handle VRM bits.
3539 */
3540 if (!(u32 & AC97_EACS_VRM)) /* Check if VRM bit is not set. */
3541 {
3542 ichac97MixerSet(pThis, AC97_MIC_ADC_Rate, 0xbb80); /* Set default (48000 Hz). */
3543 ichac97R3StreamReOpen(pThis, pThisCC, &pThis->aStreams[AC97SOUNDSOURCE_MC_INDEX],
3544 &pThisCC->aStreams[AC97SOUNDSOURCE_MC_INDEX], true /* fForce */);
3545 }
3546 else
3547 LogRel2(("AC97: Variable rate microphone audio (VRM) is not supported\n"));
3548
3549 LogRel2(("AC97: Setting extended audio control to %#x\n", u32));
3550 ichac97MixerSet(pThis, AC97_Extended_Audio_Ctrl_Stat, u32);
3551#else /* !IN_RING3 */
3552 rc = VINF_IOM_R3_IOPORT_WRITE;
3553#endif
3554 break;
3555 case AC97_PCM_Front_DAC_Rate: /* Output slots 3, 4, 6. */
3556#ifdef IN_RING3
3557 if (ichac97MixerGet(pThis, AC97_Extended_Audio_Ctrl_Stat) & AC97_EACS_VRA)
3558 {
3559 LogRel2(("AC97: Setting front DAC rate to 0x%x\n", u32));
3560 ichac97MixerSet(pThis, offPort, u32);
3561 ichac97R3StreamReOpen(pThis, pThisCC, &pThis->aStreams[AC97SOUNDSOURCE_PO_INDEX],
3562 &pThisCC->aStreams[AC97SOUNDSOURCE_PO_INDEX], true /* fForce */);
3563 }
3564 else
3565 LogRel2(("AC97: Setting front DAC rate (0x%x) when VRA is not set is forbidden, ignoring\n", u32));
3566#else
3567 rc = VINF_IOM_R3_IOPORT_WRITE;
3568#endif
3569 break;
3570 case AC97_MIC_ADC_Rate: /* Input slot 6. */
3571#ifdef IN_RING3
3572 if (ichac97MixerGet(pThis, AC97_Extended_Audio_Ctrl_Stat) & AC97_EACS_VRM)
3573 {
3574 LogRel2(("AC97: Setting microphone ADC rate to 0x%x\n", u32));
3575 ichac97MixerSet(pThis, offPort, u32);
3576 ichac97R3StreamReOpen(pThis, pThisCC, &pThis->aStreams[AC97SOUNDSOURCE_MC_INDEX],
3577 &pThisCC->aStreams[AC97SOUNDSOURCE_MC_INDEX], true /* fForce */);
3578 }
3579 else
3580 LogRel2(("AC97: Setting microphone ADC rate (0x%x) when VRM is not set is forbidden, ignoring\n", u32));
3581#else
3582 rc = VINF_IOM_R3_IOPORT_WRITE;
3583#endif
3584 break;
3585 case AC97_PCM_LR_ADC_Rate: /* Input slots 3, 4. */
3586#ifdef IN_RING3
3587 if (ichac97MixerGet(pThis, AC97_Extended_Audio_Ctrl_Stat) & AC97_EACS_VRA)
3588 {
3589 LogRel2(("AC97: Setting line-in ADC rate to 0x%x\n", u32));
3590 ichac97MixerSet(pThis, offPort, u32);
3591 ichac97R3StreamReOpen(pThis, pThisCC, &pThis->aStreams[AC97SOUNDSOURCE_PI_INDEX],
3592 &pThisCC->aStreams[AC97SOUNDSOURCE_PI_INDEX], true /* fForce */);
3593 }
3594 else
3595 LogRel2(("AC97: Setting line-in ADC rate (0x%x) when VRA is not set is forbidden, ignoring\n", u32));
3596#else
3597 rc = VINF_IOM_R3_IOPORT_WRITE;
3598#endif
3599 break;
3600 default:
3601 LogRel2(("AC97: Warning: Unimplemented NAMWrite (2 bytes) offPort=%#x <- %#x\n", offPort, u32));
3602 ichac97MixerSet(pThis, offPort, u32);
3603 break;
3604 }
3605 break;
3606 }
3607
3608 case 4:
3609 {
3610 LogRel2(("AC97: Warning: Unimplemented 4 byte NAMWrite: offPort=%#x <- %#x\n", offPort, u32));
3611 pThis->cas = 0;
3612 break;
3613 }
3614
3615 default:
3616 AssertMsgFailed(("Unhandled NAMWrite offPort=%#x, cb=%u u32=%#x\n", offPort, cb, u32));
3617 break;
3618 }
3619
3620 DEVAC97_UNLOCK(pDevIns, pThis);
3621 return rc;
3622}
3623
3624#ifdef IN_RING3
3625
3626/**
3627 * Saves (serializes) an AC'97 stream using SSM.
3628 *
3629 * @param pDevIns Device instance.
3630 * @param pSSM Saved state manager (SSM) handle to use.
3631 * @param pStream AC'97 stream to save.
3632 */
3633static void ichac97R3SaveStream(PPDMDEVINS pDevIns, PSSMHANDLE pSSM, PAC97STREAM pStream)
3634{
3635 PAC97BMREGS pRegs = &pStream->Regs;
3636 PCPDMDEVHLPR3 pHlp = pDevIns->pHlpR3;
3637
3638 pHlp->pfnSSMPutU32(pSSM, pRegs->bdbar);
3639 pHlp->pfnSSMPutU8( pSSM, pRegs->civ);
3640 pHlp->pfnSSMPutU8( pSSM, pRegs->lvi);
3641 pHlp->pfnSSMPutU16(pSSM, pRegs->sr);
3642 pHlp->pfnSSMPutU16(pSSM, pRegs->picb);
3643 pHlp->pfnSSMPutU8( pSSM, pRegs->piv);
3644 pHlp->pfnSSMPutU8( pSSM, pRegs->cr);
3645 pHlp->pfnSSMPutS32(pSSM, pRegs->bd_valid);
3646 pHlp->pfnSSMPutU32(pSSM, pRegs->bd.addr);
3647 pHlp->pfnSSMPutU32(pSSM, pRegs->bd.ctl_len);
3648}
3649
3650/**
3651 * @callback_method_impl{FNSSMDEVSAVEEXEC}
3652 */
3653static DECLCALLBACK(int) ichac97R3SaveExec(PPDMDEVINS pDevIns, PSSMHANDLE pSSM)
3654{
3655 PAC97STATE pThis = PDMDEVINS_2_DATA(pDevIns, PAC97STATE);
3656 PAC97STATER3 pThisCC = PDMDEVINS_2_DATA_CC(pDevIns, PAC97STATER3);
3657 PCPDMDEVHLPR3 pHlp = pDevIns->pHlpR3;
3658 LogFlowFuncEnter();
3659
3660 pHlp->pfnSSMPutU32(pSSM, pThis->glob_cnt);
3661 pHlp->pfnSSMPutU32(pSSM, pThis->glob_sta);
3662 pHlp->pfnSSMPutU32(pSSM, pThis->cas);
3663
3664 /*
3665 * The order that the streams are saved here is fixed, so don't change.
3666 */
3667 /** @todo r=andy For the next saved state version, add unique stream identifiers and a stream count. */
3668 for (unsigned i = 0; i < AC97_MAX_STREAMS; i++)
3669 ichac97R3SaveStream(pDevIns, pSSM, &pThis->aStreams[i]);
3670
3671 pHlp->pfnSSMPutMem(pSSM, pThis->mixer_data, sizeof(pThis->mixer_data));
3672
3673 /* The stream order is against fixed and set in stone. */
3674 uint8_t afActiveStrms[AC97SOUNDSOURCE_MAX];
3675 afActiveStrms[AC97SOUNDSOURCE_PI_INDEX] = ichac97R3StreamIsEnabled(pThisCC, &pThis->aStreams[AC97SOUNDSOURCE_PI_INDEX]);
3676 afActiveStrms[AC97SOUNDSOURCE_PO_INDEX] = ichac97R3StreamIsEnabled(pThisCC, &pThis->aStreams[AC97SOUNDSOURCE_PO_INDEX]);
3677 afActiveStrms[AC97SOUNDSOURCE_MC_INDEX] = ichac97R3StreamIsEnabled(pThisCC, &pThis->aStreams[AC97SOUNDSOURCE_MC_INDEX]);
3678 AssertCompile(RT_ELEMENTS(afActiveStrms) == 3);
3679 pHlp->pfnSSMPutMem(pSSM, afActiveStrms, sizeof(afActiveStrms));
3680
3681 LogFlowFuncLeaveRC(VINF_SUCCESS);
3682 return VINF_SUCCESS;
3683}
3684
3685/**
3686 * Loads an AC'97 stream from SSM.
3687 *
3688 * @returns IPRT status code.
3689 * @param pDevIns The device instance.
3690 * @param pSSM Saved state manager (SSM) handle to use.
3691 * @param pStream AC'97 stream to load.
3692 */
3693static int ichac97R3LoadStream(PPDMDEVINS pDevIns, PSSMHANDLE pSSM, PAC97STREAM pStream)
3694{
3695 PAC97BMREGS pRegs = &pStream->Regs;
3696 PCPDMDEVHLPR3 pHlp = pDevIns->pHlpR3;
3697
3698 pHlp->pfnSSMGetU32(pSSM, &pRegs->bdbar);
3699 pHlp->pfnSSMGetU8( pSSM, &pRegs->civ);
3700 pHlp->pfnSSMGetU8( pSSM, &pRegs->lvi);
3701 pHlp->pfnSSMGetU16(pSSM, &pRegs->sr);
3702 pHlp->pfnSSMGetU16(pSSM, &pRegs->picb);
3703 pHlp->pfnSSMGetU8( pSSM, &pRegs->piv);
3704 pHlp->pfnSSMGetU8( pSSM, &pRegs->cr);
3705 pHlp->pfnSSMGetS32(pSSM, &pRegs->bd_valid);
3706 pHlp->pfnSSMGetU32(pSSM, &pRegs->bd.addr);
3707 return pHlp->pfnSSMGetU32(pSSM, &pRegs->bd.ctl_len);
3708}
3709
3710/**
3711 * @callback_method_impl{FNSSMDEVLOADEXEC}
3712 */
3713static DECLCALLBACK(int) ichac97R3LoadExec(PPDMDEVINS pDevIns, PSSMHANDLE pSSM, uint32_t uVersion, uint32_t uPass)
3714{
3715 PAC97STATE pThis = PDMDEVINS_2_DATA(pDevIns, PAC97STATE);
3716 PAC97STATER3 pThisCC = PDMDEVINS_2_DATA_CC(pDevIns, PAC97STATER3);
3717 PCPDMDEVHLPR3 pHlp = pDevIns->pHlpR3;
3718
3719 LogRel2(("ichac97LoadExec: uVersion=%RU32, uPass=0x%x\n", uVersion, uPass));
3720
3721 AssertMsgReturn (uVersion == AC97_SAVED_STATE_VERSION, ("%RU32\n", uVersion), VERR_SSM_UNSUPPORTED_DATA_UNIT_VERSION);
3722 Assert(uPass == SSM_PASS_FINAL); NOREF(uPass);
3723
3724 pHlp->pfnSSMGetU32(pSSM, &pThis->glob_cnt);
3725 pHlp->pfnSSMGetU32(pSSM, &pThis->glob_sta);
3726 pHlp->pfnSSMGetU32(pSSM, &pThis->cas);
3727
3728 /*
3729 * The order the streams are loaded here is critical (defined by
3730 * AC97SOUNDSOURCE_XX_INDEX), so don't touch!
3731 */
3732 for (unsigned i = 0; i < AC97_MAX_STREAMS; i++)
3733 {
3734 int rc2 = ichac97R3LoadStream(pDevIns, pSSM, &pThis->aStreams[i]);
3735 AssertRCReturn(rc2, rc2);
3736 }
3737
3738 pHlp->pfnSSMGetMem(pSSM, pThis->mixer_data, sizeof(pThis->mixer_data));
3739
3740 ichac97R3MixerRecordSelect(pThis, ichac97MixerGet(pThis, AC97_Record_Select));
3741 ichac97R3MixerSetVolume(pThis, pThisCC, AC97_Master_Volume_Mute, PDMAUDIOMIXERCTL_VOLUME_MASTER,
3742 ichac97MixerGet(pThis, AC97_Master_Volume_Mute));
3743 ichac97R3MixerSetVolume(pThis, pThisCC, AC97_PCM_Out_Volume_Mute, PDMAUDIOMIXERCTL_FRONT,
3744 ichac97MixerGet(pThis, AC97_PCM_Out_Volume_Mute));
3745 ichac97R3MixerSetVolume(pThis, pThisCC, AC97_Line_In_Volume_Mute, PDMAUDIOMIXERCTL_LINE_IN,
3746 ichac97MixerGet(pThis, AC97_Line_In_Volume_Mute));
3747 ichac97R3MixerSetVolume(pThis, pThisCC, AC97_Mic_Volume_Mute, PDMAUDIOMIXERCTL_MIC_IN,
3748 ichac97MixerGet(pThis, AC97_Mic_Volume_Mute));
3749 ichac97R3MixerSetGain(pThis, pThisCC, AC97_Record_Gain_Mic_Mute, PDMAUDIOMIXERCTL_MIC_IN,
3750 ichac97MixerGet(pThis, AC97_Record_Gain_Mic_Mute));
3751 ichac97R3MixerSetGain(pThis, pThisCC, AC97_Record_Gain_Mute, PDMAUDIOMIXERCTL_LINE_IN,
3752 ichac97MixerGet(pThis, AC97_Record_Gain_Mute));
3753 if (pThis->enmCodecModel == AC97CODEC_AD1980)
3754 if (ichac97MixerGet(pThis, AC97_AD_Misc) & AC97_AD_MISC_HPSEL)
3755 ichac97R3MixerSetVolume(pThis, pThisCC, AC97_Headphone_Volume_Mute, PDMAUDIOMIXERCTL_VOLUME_MASTER,
3756 ichac97MixerGet(pThis, AC97_Headphone_Volume_Mute));
3757
3758 /*
3759 * Again the stream order is set is stone.
3760 */
3761 uint8_t afActiveStrms[AC97SOUNDSOURCE_MAX];
3762 int rc2 = pHlp->pfnSSMGetMem(pSSM, afActiveStrms, sizeof(afActiveStrms));
3763 AssertRCReturn(rc2, rc2);
3764
3765 for (unsigned i = 0; i < AC97_MAX_STREAMS; i++)
3766 {
3767 const bool fEnable = RT_BOOL(afActiveStrms[i]);
3768 const PAC97STREAM pStream = &pThis->aStreams[i];
3769 const PAC97STREAMR3 pStreamCC = &pThisCC->aStreams[i];
3770
3771 rc2 = ichac97R3StreamEnable(pThis, pThisCC, pStream, pStreamCC, fEnable);
3772 AssertRC(rc2);
3773 if ( fEnable
3774 && RT_SUCCESS(rc2))
3775 {
3776 /* Re-arm the timer for this stream. */
3777 ichac97R3TimerSet(pDevIns, pStream, pStreamCC->State.cTransferTicks);
3778 }
3779
3780 /* Keep going. */
3781 }
3782
3783 pThis->bup_flag = 0;
3784 pThis->last_samp = 0;
3785
3786 return VINF_SUCCESS;
3787}
3788
3789
3790/**
3791 * @interface_method_impl{PDMIBASE,pfnQueryInterface}
3792 */
3793static DECLCALLBACK(void *) ichac97R3QueryInterface(struct PDMIBASE *pInterface, const char *pszIID)
3794{
3795 PAC97STATER3 pThisCC = RT_FROM_MEMBER(pInterface, AC97STATER3, IBase);
3796 PDMIBASE_RETURN_INTERFACE(pszIID, PDMIBASE, &pThisCC->IBase);
3797 return NULL;
3798}
3799
3800
3801/**
3802 * Powers off the device.
3803 *
3804 * @param pDevIns Device instance to power off.
3805 */
3806static DECLCALLBACK(void) ichac97R3PowerOff(PPDMDEVINS pDevIns)
3807{
3808 PAC97STATE pThis = PDMDEVINS_2_DATA(pDevIns, PAC97STATE);
3809 PAC97STATER3 pThisCC = PDMDEVINS_2_DATA_CC(pDevIns, PAC97STATER3);
3810
3811 LogRel2(("AC97: Powering off ...\n"));
3812
3813 /* Note: Involves mixer stream / sink destruction, so also do this here
3814 * instead of in ichac97R3Destruct(). */
3815 ichac97R3StreamsDestroy(pThis, pThisCC);
3816
3817 /*
3818 * Note: Destroy the mixer while powering off and *not* in ichac97R3Destruct,
3819 * giving the mixer the chance to release any references held to
3820 * PDM audio streams it maintains.
3821 */
3822 if (pThisCC->pMixer)
3823 {
3824 AudioMixerDestroy(pThisCC->pMixer);
3825 pThisCC->pMixer = NULL;
3826 }
3827}
3828
3829
3830/**
3831 * @interface_method_impl{PDMDEVREG,pfnReset}
3832 *
3833 * @remarks The original sources didn't install a reset handler, but it seems to
3834 * make sense to me so we'll do it.
3835 */
3836static DECLCALLBACK(void) ichac97R3Reset(PPDMDEVINS pDevIns)
3837{
3838 PAC97STATE pThis = PDMDEVINS_2_DATA(pDevIns, PAC97STATE);
3839 PAC97STATER3 pThisCC = PDMDEVINS_2_DATA_CC(pDevIns, PAC97STATER3);
3840
3841 LogRel(("AC97: Reset\n"));
3842
3843 /*
3844 * Reset the mixer too. The Windows XP driver seems to rely on
3845 * this. At least it wants to read the vendor id before it resets
3846 * the codec manually.
3847 */
3848 ichac97R3MixerReset(pThis, pThisCC);
3849
3850 /*
3851 * Reset all streams.
3852 */
3853 for (unsigned i = 0; i < AC97_MAX_STREAMS; i++)
3854 {
3855 ichac97R3StreamEnable(pThis, pThisCC, &pThis->aStreams[i], &pThisCC->aStreams[i], false /* fEnable */);
3856 ichac97R3StreamReset(pThis, &pThis->aStreams[i], &pThisCC->aStreams[i]);
3857 }
3858
3859 /*
3860 * Reset mixer sinks.
3861 *
3862 * Do the reset here instead of in ichac97R3StreamReset();
3863 * the mixer sink(s) might still have data to be processed when an audio stream gets reset.
3864 */
3865 AudioMixerSinkReset(pThisCC->pSinkLineIn);
3866 AudioMixerSinkReset(pThisCC->pSinkMicIn);
3867 AudioMixerSinkReset(pThisCC->pSinkOut);
3868}
3869
3870
3871/**
3872 * Attach command, internal version.
3873 *
3874 * This is called to let the device attach to a driver for a specified LUN
3875 * during runtime. This is not called during VM construction, the device
3876 * constructor has to attach to all the available drivers.
3877 *
3878 * @returns VBox status code.
3879 * @param pDevIns The device instance.
3880 * @param pThisCC The ring-3 AC'97 device state.
3881 * @param iLun The logical unit which is being attached.
3882 * @param fFlags Flags, combination of the PDMDEVATT_FLAGS_* \#defines.
3883 * @param ppDrv Attached driver instance on success. Optional.
3884 */
3885static int ichac97R3AttachInternal(PPDMDEVINS pDevIns, PAC97STATER3 pThisCC, unsigned iLun, uint32_t fFlags, PAC97DRIVER *ppDrv)
3886{
3887 RT_NOREF(fFlags);
3888
3889 /*
3890 * Attach driver.
3891 */
3892 char *pszDesc;
3893 if (RTStrAPrintf(&pszDesc, "Audio driver port (AC'97) for LUN #%u", iLun) <= 0)
3894 AssertLogRelFailedReturn(VERR_NO_MEMORY);
3895
3896 PPDMIBASE pDrvBase;
3897 int rc = PDMDevHlpDriverAttach(pDevIns, iLun, &pThisCC->IBase, &pDrvBase, pszDesc);
3898 if (RT_SUCCESS(rc))
3899 {
3900 PAC97DRIVER pDrv = (PAC97DRIVER)RTMemAllocZ(sizeof(AC97DRIVER));
3901 if (pDrv)
3902 {
3903 pDrv->pDrvBase = pDrvBase;
3904 pDrv->pConnector = PDMIBASE_QUERY_INTERFACE(pDrvBase, PDMIAUDIOCONNECTOR);
3905 AssertMsg(pDrv->pConnector != NULL, ("Configuration error: LUN #%u has no host audio interface, rc=%Rrc\n", iLun, rc));
3906 pDrv->uLUN = iLun;
3907 pDrv->pszDesc = pszDesc;
3908
3909 /*
3910 * For now we always set the driver at LUN 0 as our primary
3911 * host backend. This might change in the future.
3912 */
3913 if (iLun == 0)
3914 pDrv->fFlags |= PDMAUDIODRVFLAGS_PRIMARY;
3915
3916 LogFunc(("LUN#%u: pCon=%p, drvFlags=0x%x\n", iLun, pDrv->pConnector, pDrv->fFlags));
3917
3918 /* Attach to driver list if not attached yet. */
3919 if (!pDrv->fAttached)
3920 {
3921 RTListAppend(&pThisCC->lstDrv, &pDrv->Node);
3922 pDrv->fAttached = true;
3923 }
3924
3925 if (ppDrv)
3926 *ppDrv = pDrv;
3927 }
3928 else
3929 rc = VERR_NO_MEMORY;
3930 }
3931 else if (rc == VERR_PDM_NO_ATTACHED_DRIVER)
3932 LogFunc(("No attached driver for LUN #%u\n", iLun));
3933
3934 if (RT_FAILURE(rc))
3935 {
3936 /* Only free this string on failure;
3937 * must remain valid for the live of the driver instance. */
3938 RTStrFree(pszDesc);
3939 }
3940
3941 LogFunc(("iLun=%u, fFlags=0x%x, rc=%Rrc\n", iLun, fFlags, rc));
3942 return rc;
3943}
3944
3945/**
3946 * Detach command, internal version.
3947 *
3948 * This is called to let the device detach from a driver for a specified LUN
3949 * during runtime.
3950 *
3951 * @returns VBox status code.
3952 * @param pThisCC The ring-3 AC'97 device state.
3953 * @param pDrv Driver to detach from device.
3954 * @param fFlags Flags, combination of the PDMDEVATT_FLAGS_* \#defines.
3955 */
3956static int ichac97R3DetachInternal(PAC97STATER3 pThisCC, PAC97DRIVER pDrv, uint32_t fFlags)
3957{
3958 RT_NOREF(fFlags);
3959
3960 /* First, remove the driver from our list and destory it's associated streams.
3961 * This also will un-set the driver as a recording source (if associated). */
3962 ichac97R3MixerRemoveDrv(pThisCC, pDrv);
3963
3964 /* Next, search backwards for a capable (attached) driver which now will be the
3965 * new recording source. */
3966 PDMAUDIODSTSRCUNION dstSrc;
3967 PAC97DRIVER pDrvCur;
3968 RTListForEachReverse(&pThisCC->lstDrv, pDrvCur, AC97DRIVER, Node)
3969 {
3970 if (!pDrvCur->pConnector)
3971 continue;
3972
3973 PDMAUDIOBACKENDCFG Cfg;
3974 int rc2 = pDrvCur->pConnector->pfnGetConfig(pDrvCur->pConnector, &Cfg);
3975 if (RT_FAILURE(rc2))
3976 continue;
3977
3978 dstSrc.enmSrc = PDMAUDIORECSRC_MIC;
3979 PAC97DRIVERSTREAM pDrvStrm = ichac97R3MixerGetDrvStream(pDrvCur, PDMAUDIODIR_IN, dstSrc);
3980 if ( pDrvStrm
3981 && pDrvStrm->pMixStrm)
3982 {
3983 rc2 = AudioMixerSinkSetRecordingSource(pThisCC->pSinkMicIn, pDrvStrm->pMixStrm);
3984 if (RT_SUCCESS(rc2))
3985 LogRel2(("AC97: Set new recording source for 'Mic In' to '%s'\n", Cfg.szName));
3986 }
3987
3988 dstSrc.enmSrc = PDMAUDIORECSRC_LINE;
3989 pDrvStrm = ichac97R3MixerGetDrvStream(pDrvCur, PDMAUDIODIR_IN, dstSrc);
3990 if ( pDrvStrm
3991 && pDrvStrm->pMixStrm)
3992 {
3993 rc2 = AudioMixerSinkSetRecordingSource(pThisCC->pSinkLineIn, pDrvStrm->pMixStrm);
3994 if (RT_SUCCESS(rc2))
3995 LogRel2(("AC97: Set new recording source for 'Line In' to '%s'\n", Cfg.szName));
3996 }
3997 }
3998
3999 LogFunc(("uLUN=%u, fFlags=0x%x\n", pDrv->uLUN, fFlags));
4000 return VINF_SUCCESS;
4001}
4002
4003/**
4004 * @interface_method_impl{PDMDEVREGR3,pfnAttach}
4005 */
4006static DECLCALLBACK(int) ichac97R3Attach(PPDMDEVINS pDevIns, unsigned iLUN, uint32_t fFlags)
4007{
4008 PAC97STATE pThis = PDMDEVINS_2_DATA(pDevIns, PAC97STATE);
4009 PAC97STATER3 pThisCC = PDMDEVINS_2_DATA_CC(pDevIns, PAC97STATER3);
4010
4011 LogFunc(("iLUN=%u, fFlags=0x%x\n", iLUN, fFlags));
4012
4013 DEVAC97_LOCK(pDevIns, pThis);
4014
4015 PAC97DRIVER pDrv;
4016 int rc2 = ichac97R3AttachInternal(pDevIns, pThisCC, iLUN, fFlags, &pDrv);
4017 if (RT_SUCCESS(rc2))
4018 rc2 = ichac97R3MixerAddDrv(pThisCC, pDrv);
4019
4020 if (RT_FAILURE(rc2))
4021 LogFunc(("Failed with %Rrc\n", rc2));
4022
4023 DEVAC97_UNLOCK(pDevIns, pThis);
4024
4025 return VINF_SUCCESS;
4026}
4027
4028/**
4029 * @interface_method_impl{PDMDEVREG,pfnDetach}
4030 */
4031static DECLCALLBACK(void) ichac97R3Detach(PPDMDEVINS pDevIns, unsigned iLUN, uint32_t fFlags)
4032{
4033 PAC97STATE pThis = PDMDEVINS_2_DATA(pDevIns, PAC97STATE);
4034 PAC97STATER3 pThisCC = PDMDEVINS_2_DATA_CC(pDevIns, PAC97STATER3);
4035
4036 LogFunc(("iLUN=%u, fFlags=0x%x\n", iLUN, fFlags));
4037
4038 DEVAC97_LOCK(pDevIns, pThis);
4039
4040 PAC97DRIVER pDrv, pDrvNext;
4041 RTListForEachSafe(&pThisCC->lstDrv, pDrv, pDrvNext, AC97DRIVER, Node)
4042 {
4043 if (pDrv->uLUN == iLUN)
4044 {
4045 int rc2 = ichac97R3DetachInternal(pThisCC, pDrv, fFlags);
4046 if (RT_SUCCESS(rc2))
4047 {
4048 RTStrFree(pDrv->pszDesc);
4049 RTMemFree(pDrv);
4050 pDrv = NULL;
4051 }
4052
4053 break;
4054 }
4055 }
4056
4057 DEVAC97_UNLOCK(pDevIns, pThis);
4058}
4059
4060/**
4061 * Replaces a driver with a the NullAudio drivers.
4062 *
4063 * @returns VBox status code.
4064 * @param pDevIns The device instance.
4065 * @param pThisCC The ring-3 AC'97 device state.
4066 * @param iLun The logical unit which is being replaced.
4067 */
4068static int ichac97R3ReconfigLunWithNullAudio(PPDMDEVINS pDevIns, PAC97STATER3 pThisCC, unsigned iLun)
4069{
4070 int rc = PDMDevHlpDriverReconfigure2(pDevIns, iLun, "AUDIO", "NullAudio");
4071 if (RT_SUCCESS(rc))
4072 rc = ichac97R3AttachInternal(pDevIns, pThisCC, iLun, 0 /* fFlags */, NULL /* ppDrv */);
4073 LogFunc(("pThisCC=%p, iLun=%u, rc=%Rrc\n", pThisCC, iLun, rc));
4074 return rc;
4075}
4076
4077/**
4078 * @interface_method_impl{PDMDEVREG,pfnDestruct}
4079 */
4080static DECLCALLBACK(int) ichac97R3Destruct(PPDMDEVINS pDevIns)
4081{
4082 PDMDEV_CHECK_VERSIONS_RETURN_QUIET(pDevIns); /* this shall come first */
4083 PAC97STATER3 pThisCC = PDMDEVINS_2_DATA_CC(pDevIns, PAC97STATER3);
4084
4085 LogFlowFuncEnter();
4086
4087 PAC97DRIVER pDrv, pDrvNext;
4088 RTListForEachSafe(&pThisCC->lstDrv, pDrv, pDrvNext, AC97DRIVER, Node)
4089 {
4090 RTListNodeRemove(&pDrv->Node);
4091 RTMemFree(pDrv->pszDesc);
4092 RTMemFree(pDrv);
4093 }
4094
4095 /* Sanity. */
4096 Assert(RTListIsEmpty(&pThisCC->lstDrv));
4097
4098 return VINF_SUCCESS;
4099}
4100
4101/**
4102 * @interface_method_impl{PDMDEVREG,pfnConstruct}
4103 */
4104static DECLCALLBACK(int) ichac97R3Construct(PPDMDEVINS pDevIns, int iInstance, PCFGMNODE pCfg)
4105{
4106 PDMDEV_CHECK_VERSIONS_RETURN(pDevIns); /* this shall come first */
4107 PAC97STATE pThis = PDMDEVINS_2_DATA(pDevIns, PAC97STATE);
4108 PAC97STATER3 pThisCC = PDMDEVINS_2_DATA_CC(pDevIns, PAC97STATER3);
4109 PCPDMDEVHLPR3 pHlp = pDevIns->pHlpR3;
4110 Assert(iInstance == 0); RT_NOREF(iInstance);
4111
4112 /*
4113 * Initialize data so we can run the destructor without scewing up.
4114 */
4115 pThisCC->pDevIns = pDevIns;
4116 pThisCC->IBase.pfnQueryInterface = ichac97R3QueryInterface;
4117 RTListInit(&pThisCC->lstDrv);
4118
4119 /*
4120 * Validate and read configuration.
4121 */
4122 PDMDEV_VALIDATE_CONFIG_RETURN(pDevIns, "Codec|TimerHz|DebugEnabled|DebugPathOut", "");
4123
4124 char szCodec[20];
4125 int rc = pHlp->pfnCFGMQueryStringDef(pCfg, "Codec", &szCodec[0], sizeof(szCodec), "STAC9700");
4126 if (RT_FAILURE(rc))
4127 return PDMDEV_SET_ERROR(pDevIns, VERR_PDM_DEVINS_UNKNOWN_CFG_VALUES,
4128 N_("AC'97 configuration error: Querying \"Codec\" as string failed"));
4129
4130 rc = pHlp->pfnCFGMQueryU16Def(pCfg, "TimerHz", &pThis->uTimerHz, AC97_TIMER_HZ_DEFAULT /* Default value, if not set. */);
4131 if (RT_FAILURE(rc))
4132 return PDMDEV_SET_ERROR(pDevIns, rc,
4133 N_("AC'97 configuration error: failed to read Hertz (Hz) rate as unsigned integer"));
4134
4135 if (pThis->uTimerHz != AC97_TIMER_HZ_DEFAULT)
4136 LogRel(("AC97: Using custom device timer rate (%RU16Hz)\n", pThis->uTimerHz));
4137
4138 rc = pHlp->pfnCFGMQueryBoolDef(pCfg, "DebugEnabled", &pThisCC->Dbg.fEnabled, false);
4139 if (RT_FAILURE(rc))
4140 return PDMDEV_SET_ERROR(pDevIns, rc,
4141 N_("AC97 configuration error: failed to read debugging enabled flag as boolean"));
4142
4143 rc = pHlp->pfnCFGMQueryStringAllocDef(pCfg, "DebugPathOut", &pThisCC->Dbg.pszOutPath, VBOX_AUDIO_DEBUG_DUMP_PCM_DATA_PATH);
4144 if (RT_FAILURE(rc))
4145 return PDMDEV_SET_ERROR(pDevIns, rc,
4146 N_("AC97 configuration error: failed to read debugging output path flag as string"));
4147
4148 if (pThisCC->Dbg.fEnabled)
4149 LogRel2(("AC97: Debug output will be saved to '%s'\n", pThisCC->Dbg.pszOutPath));
4150
4151 /*
4152 * The AD1980 codec (with corresponding PCI subsystem vendor ID) is whitelisted
4153 * in the Linux kernel; Linux makes no attempt to measure the data rate and assumes
4154 * 48 kHz rate, which is exactly what we need. Same goes for AD1981B.
4155 */
4156 if (!strcmp(szCodec, "STAC9700"))
4157 pThis->enmCodecModel = AC97CODEC_STAC9700;
4158 else if (!strcmp(szCodec, "AD1980"))
4159 pThis->enmCodecModel = AC97CODEC_AD1980;
4160 else if (!strcmp(szCodec, "AD1981B"))
4161 pThis->enmCodecModel = AC97CODEC_AD1981B;
4162 else
4163 return PDMDevHlpVMSetError(pDevIns, VERR_PDM_DEVINS_UNKNOWN_CFG_VALUES, RT_SRC_POS,
4164 N_("AC'97 configuration error: The \"Codec\" value \"%s\" is unsupported"), szCodec);
4165
4166 LogRel(("AC97: Using codec '%s'\n", szCodec));
4167
4168 /*
4169 * Use an own critical section for the device instead of the default
4170 * one provided by PDM. This allows fine-grained locking in combination
4171 * with TM when timer-specific stuff is being called in e.g. the MMIO handlers.
4172 */
4173 rc = PDMDevHlpCritSectInit(pDevIns, &pThis->CritSect, RT_SRC_POS, "AC'97");
4174 AssertRCReturn(rc, rc);
4175
4176 rc = PDMDevHlpSetDeviceCritSect(pDevIns, PDMDevHlpCritSectGetNop(pDevIns));
4177 AssertRCReturn(rc, rc);
4178
4179 /*
4180 * Initialize data (most of it anyway).
4181 */
4182 /* PCI Device */
4183 PPDMPCIDEV pPciDev = pDevIns->apPciDevs[0];
4184 PCIDevSetVendorId(pPciDev, 0x8086); /* 00 ro - intel. */ Assert(pPciDev->abConfig[0x00] == 0x86); Assert(pPciDev->abConfig[0x01] == 0x80);
4185 PCIDevSetDeviceId(pPciDev, 0x2415); /* 02 ro - 82801 / 82801aa(?). */ Assert(pPciDev->abConfig[0x02] == 0x15); Assert(pPciDev->abConfig[0x03] == 0x24);
4186 PCIDevSetCommand(pPciDev, 0x0000); /* 04 rw,ro - pcicmd. */ Assert(pPciDev->abConfig[0x04] == 0x00); Assert(pPciDev->abConfig[0x05] == 0x00);
4187 PCIDevSetStatus(pPciDev, VBOX_PCI_STATUS_DEVSEL_MEDIUM | VBOX_PCI_STATUS_FAST_BACK); /* 06 rwc?,ro? - pcists. */ Assert(pPciDev->abConfig[0x06] == 0x80); Assert(pPciDev->abConfig[0x07] == 0x02);
4188 PCIDevSetRevisionId(pPciDev, 0x01); /* 08 ro - rid. */ Assert(pPciDev->abConfig[0x08] == 0x01);
4189 PCIDevSetClassProg(pPciDev, 0x00); /* 09 ro - pi. */ Assert(pPciDev->abConfig[0x09] == 0x00);
4190 PCIDevSetClassSub(pPciDev, 0x01); /* 0a ro - scc; 01 == Audio. */ Assert(pPciDev->abConfig[0x0a] == 0x01);
4191 PCIDevSetClassBase(pPciDev, 0x04); /* 0b ro - bcc; 04 == multimedia.*/Assert(pPciDev->abConfig[0x0b] == 0x04);
4192 PCIDevSetHeaderType(pPciDev, 0x00); /* 0e ro - headtyp. */ Assert(pPciDev->abConfig[0x0e] == 0x00);
4193 PCIDevSetBaseAddress(pPciDev, 0, /* 10 rw - nambar - native audio mixer base. */
4194 true /* fIoSpace */, false /* fPrefetchable */, false /* f64Bit */, 0x00000000); Assert(pPciDev->abConfig[0x10] == 0x01); Assert(pPciDev->abConfig[0x11] == 0x00); Assert(pPciDev->abConfig[0x12] == 0x00); Assert(pPciDev->abConfig[0x13] == 0x00);
4195 PCIDevSetBaseAddress(pPciDev, 1, /* 14 rw - nabmbar - native audio bus mastering. */
4196 true /* fIoSpace */, false /* fPrefetchable */, false /* f64Bit */, 0x00000000); Assert(pPciDev->abConfig[0x14] == 0x01); Assert(pPciDev->abConfig[0x15] == 0x00); Assert(pPciDev->abConfig[0x16] == 0x00); Assert(pPciDev->abConfig[0x17] == 0x00);
4197 PCIDevSetInterruptLine(pPciDev, 0x00); /* 3c rw. */ Assert(pPciDev->abConfig[0x3c] == 0x00);
4198 PCIDevSetInterruptPin(pPciDev, 0x01); /* 3d ro - INTA#. */ Assert(pPciDev->abConfig[0x3d] == 0x01);
4199
4200 if (pThis->enmCodecModel == AC97CODEC_AD1980)
4201 {
4202 PCIDevSetSubSystemVendorId(pPciDev, 0x1028); /* 2c ro - Dell.) */
4203 PCIDevSetSubSystemId(pPciDev, 0x0177); /* 2e ro. */
4204 }
4205 else if (pThis->enmCodecModel == AC97CODEC_AD1981B)
4206 {
4207 PCIDevSetSubSystemVendorId(pPciDev, 0x1028); /* 2c ro - Dell.) */
4208 PCIDevSetSubSystemId(pPciDev, 0x01ad); /* 2e ro. */
4209 }
4210 else
4211 {
4212 PCIDevSetSubSystemVendorId(pPciDev, 0x8086); /* 2c ro - Intel.) */
4213 PCIDevSetSubSystemId(pPciDev, 0x0000); /* 2e ro. */
4214 }
4215
4216 /*
4217 * Register the PCI device and associated I/O regions.
4218 */
4219 rc = PDMDevHlpPCIRegister(pDevIns, pPciDev);
4220 if (RT_FAILURE(rc))
4221 return rc;
4222
4223 rc = PDMDevHlpPCIIORegionCreateIo(pDevIns, 0 /*iPciRegion*/, 256 /*cPorts*/,
4224 ichac97IoPortNamWrite, ichac97IoPortNamRead, NULL /*pvUser*/,
4225 "ICHAC97 NAM", NULL /*paExtDescs*/, &pThis->hIoPortsNam);
4226 AssertRCReturn(rc, rc);
4227
4228 rc = PDMDevHlpPCIIORegionCreateIo(pDevIns, 1 /*iPciRegion*/, 64 /*cPorts*/,
4229 ichac97IoPortNabmWrite, ichac97IoPortNabmRead, NULL /*pvUser*/,
4230 "ICHAC97 NABM", g_aNabmPorts, &pThis->hIoPortsNabm);
4231 AssertRCReturn(rc, rc);
4232
4233 /*
4234 * Saved state.
4235 */
4236 rc = PDMDevHlpSSMRegister(pDevIns, AC97_SAVED_STATE_VERSION, sizeof(*pThis), ichac97R3SaveExec, ichac97R3LoadExec);
4237 if (RT_FAILURE(rc))
4238 return rc;
4239
4240# ifdef VBOX_WITH_AUDIO_AC97_ASYNC_IO
4241 LogRel(("AC97: Asynchronous I/O enabled\n"));
4242# endif
4243
4244 /*
4245 * Attach drivers. We ASSUME they are configured consecutively without any
4246 * gaps, so we stop when we hit the first LUN w/o a driver configured.
4247 */
4248 for (unsigned iLun = 0; ; iLun++)
4249 {
4250 AssertBreak(iLun < UINT8_MAX);
4251 LogFunc(("Trying to attach driver for LUN#%u ...\n", iLun));
4252 rc = ichac97R3AttachInternal(pDevIns, pThisCC, iLun, 0 /* fFlags */, NULL /* ppDrv */);
4253 if (rc == VERR_PDM_NO_ATTACHED_DRIVER)
4254 {
4255 LogFunc(("cLUNs=%u\n", iLun));
4256 break;
4257 }
4258 if (rc == VERR_AUDIO_BACKEND_INIT_FAILED)
4259 {
4260 ichac97R3ReconfigLunWithNullAudio(pDevIns, pThisCC, iLun); /* Pretend attaching to the NULL audio backend will never fail. */
4261 PDMDevHlpVMSetRuntimeError(pDevIns, 0 /*fFlags*/, "HostAudioNotResponding",
4262 N_("Host audio backend initialization has failed. "
4263 "Selecting the NULL audio backend with the consequence that no sound is audible"));
4264 }
4265 else
4266 AssertLogRelMsgReturn(RT_SUCCESS(rc), ("LUN#%u: rc=%Rrc\n", iLun, rc), rc);
4267 }
4268
4269 rc = AudioMixerCreate("AC'97 Mixer", 0 /* uFlags */, &pThisCC->pMixer);
4270 AssertRCReturn(rc, rc);
4271 rc = AudioMixerCreateSink(pThisCC->pMixer, "[Recording] Line In", AUDMIXSINKDIR_INPUT, &pThisCC->pSinkLineIn);
4272 AssertRCReturn(rc, rc);
4273 rc = AudioMixerCreateSink(pThisCC->pMixer, "[Recording] Microphone In", AUDMIXSINKDIR_INPUT, &pThisCC->pSinkMicIn);
4274 AssertRCReturn(rc, rc);
4275 rc = AudioMixerCreateSink(pThisCC->pMixer, "[Playback] PCM Output", AUDMIXSINKDIR_OUTPUT, &pThisCC->pSinkOut);
4276 AssertRCReturn(rc, rc);
4277
4278 /*
4279 * Create all hardware streams.
4280 */
4281 AssertCompile(RT_ELEMENTS(pThis->aStreams) == AC97_MAX_STREAMS);
4282 for (unsigned i = 0; i < AC97_MAX_STREAMS; i++)
4283 {
4284 rc = ichac97R3StreamCreate(pThisCC, &pThis->aStreams[i], &pThisCC->aStreams[i], i /* SD# */);
4285 AssertRCReturn(rc, rc);
4286 }
4287
4288 /*
4289 * Create the emulation timers (one per stream).
4290 *
4291 * We must the critical section for the timers as the device has a
4292 * noop section associated with it.
4293 *
4294 * Note: Use TMCLOCK_VIRTUAL_SYNC here, as the guest's AC'97 driver
4295 * relies on exact (virtual) DMA timing and uses DMA Position Buffers
4296 * instead of the LPIB registers.
4297 */
4298 static const char * const s_apszNames[] = { "AC97 PI", "AC97 PO", "AC97 MC" };
4299 AssertCompile(RT_ELEMENTS(s_apszNames) == AC97_MAX_STREAMS);
4300 for (unsigned i = 0; i < AC97_MAX_STREAMS; i++)
4301 {
4302 rc = PDMDevHlpTimerCreate(pDevIns, TMCLOCK_VIRTUAL_SYNC, ichac97R3Timer, &pThis->aStreams[i],
4303 TMTIMER_FLAGS_NO_CRIT_SECT, s_apszNames[i], &pThis->aStreams[i].hTimer);
4304 AssertRCReturn(rc, rc);
4305
4306 rc = PDMDevHlpTimerSetCritSect(pDevIns, pThis->aStreams[i].hTimer, &pThis->CritSect);
4307 AssertRCReturn(rc, rc);
4308 }
4309
4310
4311# ifdef VBOX_WITH_AUDIO_AC97_ONETIME_INIT
4312 PAC97DRIVER pDrv;
4313 RTListForEach(&pThisCC->lstDrv, pDrv, AC97DRIVER, Node)
4314 {
4315 /*
4316 * Only primary drivers are critical for the VM to run. Everything else
4317 * might not worth showing an own error message box in the GUI.
4318 */
4319 if (!(pDrv->fFlags & PDMAUDIODRVFLAGS_PRIMARY))
4320 continue;
4321
4322 PPDMIAUDIOCONNECTOR pCon = pDrv->pConnector;
4323 AssertPtr(pCon);
4324
4325 bool fValidLineIn = AudioMixerStreamIsValid(pDrv->LineIn.pMixStrm);
4326 bool fValidMicIn = AudioMixerStreamIsValid(pDrv->MicIn.pMixStrm);
4327 bool fValidOut = AudioMixerStreamIsValid(pDrv->Out.pMixStrm);
4328
4329 if ( !fValidLineIn
4330 && !fValidMicIn
4331 && !fValidOut)
4332 {
4333 LogRel(("AC97: Falling back to NULL backend (no sound audible)\n"));
4334 ichac97R3Reset(pDevIns);
4335 ichac97R3ReconfigLunWithNullAudio(pdEvIns, pThsiCC, iLun);
4336 PDMDevHlpVMSetRuntimeError(pDevIns, 0 /*fFlags*/, "HostAudioNotResponding",
4337 N_("No audio devices could be opened. "
4338 "Selecting the NULL audio backend with the consequence that no sound is audible"));
4339 }
4340 else
4341 {
4342 bool fWarn = false;
4343
4344 PDMAUDIOBACKENDCFG backendCfg;
4345 int rc2 = pCon->pfnGetConfig(pCon, &backendCfg);
4346 if (RT_SUCCESS(rc2))
4347 {
4348 if (backendCfg.cMaxStreamsIn)
4349 {
4350 /* If the audio backend supports two or more input streams at once,
4351 * warn if one of our two inputs (microphone-in and line-in) failed to initialize. */
4352 if (backendCfg.cMaxStreamsIn >= 2)
4353 fWarn = !fValidLineIn || !fValidMicIn;
4354 /* If the audio backend only supports one input stream at once (e.g. pure ALSA, and
4355 * *not* ALSA via PulseAudio plugin!), only warn if both of our inputs failed to initialize.
4356 * One of the two simply is not in use then. */
4357 else if (backendCfg.cMaxStreamsIn == 1)
4358 fWarn = !fValidLineIn && !fValidMicIn;
4359 /* Don't warn if our backend is not able of supporting any input streams at all. */
4360 }
4361
4362 if ( !fWarn
4363 && backendCfg.cMaxStreamsOut)
4364 {
4365 fWarn = !fValidOut;
4366 }
4367 }
4368 else
4369 {
4370 LogRel(("AC97: Unable to retrieve audio backend configuration for LUN #%RU8, rc=%Rrc\n", pDrv->uLUN, rc2));
4371 fWarn = true;
4372 }
4373
4374 if (fWarn)
4375 {
4376 char szMissingStreams[255] = "";
4377 size_t len = 0;
4378 if (!fValidLineIn)
4379 {
4380 LogRel(("AC97: WARNING: Unable to open PCM line input for LUN #%RU8!\n", pDrv->uLUN));
4381 len = RTStrPrintf(szMissingStreams, sizeof(szMissingStreams), "PCM Input");
4382 }
4383 if (!fValidMicIn)
4384 {
4385 LogRel(("AC97: WARNING: Unable to open PCM microphone input for LUN #%RU8!\n", pDrv->uLUN));
4386 len += RTStrPrintf(szMissingStreams + len,
4387 sizeof(szMissingStreams) - len, len ? ", PCM Microphone" : "PCM Microphone");
4388 }
4389 if (!fValidOut)
4390 {
4391 LogRel(("AC97: WARNING: Unable to open PCM output for LUN #%RU8!\n", pDrv->uLUN));
4392 len += RTStrPrintf(szMissingStreams + len,
4393 sizeof(szMissingStreams) - len, len ? ", PCM Output" : "PCM Output");
4394 }
4395
4396 PDMDevHlpVMSetRuntimeError(pDevIns, 0 /*fFlags*/, "HostAudioNotResponding",
4397 N_("Some AC'97 audio streams (%s) could not be opened. Guest applications generating audio "
4398 "output or depending on audio input may hang. Make sure your host audio device "
4399 "is working properly. Check the logfile for error messages of the audio "
4400 "subsystem"), szMissingStreams);
4401 }
4402 }
4403 }
4404# endif /* VBOX_WITH_AUDIO_AC97_ONETIME_INIT */
4405
4406 ichac97R3Reset(pDevIns);
4407
4408 /*
4409 * Register statistics.
4410 */
4411 PDMDevHlpSTAMRegister(pDevIns, &pThis->StatUnimplementedNabmReads, STAMTYPE_COUNTER, "UnimplementedNabmReads", STAMUNIT_OCCURENCES, "Unimplemented NABM register reads.");
4412 PDMDevHlpSTAMRegister(pDevIns, &pThis->StatUnimplementedNabmWrites, STAMTYPE_COUNTER, "UnimplementedNabmWrites", STAMUNIT_OCCURENCES, "Unimplemented NABM register writes.");
4413# ifdef VBOX_WITH_STATISTICS
4414 PDMDevHlpSTAMRegister(pDevIns, &pThis->StatTimer, STAMTYPE_PROFILE, "Timer", STAMUNIT_TICKS_PER_CALL, "Profiling ichac97Timer.");
4415 PDMDevHlpSTAMRegister(pDevIns, &pThis->StatIn, STAMTYPE_PROFILE, "Input", STAMUNIT_TICKS_PER_CALL, "Profiling input.");
4416 PDMDevHlpSTAMRegister(pDevIns, &pThis->StatOut, STAMTYPE_PROFILE, "Output", STAMUNIT_TICKS_PER_CALL, "Profiling output.");
4417 PDMDevHlpSTAMRegister(pDevIns, &pThis->StatBytesRead, STAMTYPE_COUNTER, "BytesRead" , STAMUNIT_BYTES, "Bytes read from AC97 emulation.");
4418 PDMDevHlpSTAMRegister(pDevIns, &pThis->StatBytesWritten, STAMTYPE_COUNTER, "BytesWritten", STAMUNIT_BYTES, "Bytes written to AC97 emulation.");
4419# endif
4420
4421 LogFlowFuncLeaveRC(VINF_SUCCESS);
4422 return VINF_SUCCESS;
4423}
4424
4425#else /* !IN_RING3 */
4426
4427/**
4428 * @callback_method_impl{PDMDEVREGR0,pfnConstruct}
4429 */
4430static DECLCALLBACK(int) ichac97RZConstruct(PPDMDEVINS pDevIns)
4431{
4432 PDMDEV_CHECK_VERSIONS_RETURN(pDevIns);
4433 PAC97STATE pThis = PDMDEVINS_2_DATA(pDevIns, PAC97STATE);
4434
4435 int rc = PDMDevHlpSetDeviceCritSect(pDevIns, PDMDevHlpCritSectGetNop(pDevIns));
4436 AssertRCReturn(rc, rc);
4437
4438 rc = PDMDevHlpIoPortSetUpContext(pDevIns, pThis->hIoPortsNam, ichac97IoPortNamWrite, ichac97IoPortNamRead, NULL /*pvUser*/);
4439 AssertRCReturn(rc, rc);
4440 rc = PDMDevHlpIoPortSetUpContext(pDevIns, pThis->hIoPortsNabm, ichac97IoPortNabmWrite, ichac97IoPortNabmRead, NULL /*pvUser*/);
4441 AssertRCReturn(rc, rc);
4442
4443 return VINF_SUCCESS;
4444}
4445
4446#endif /* !IN_RING3 */
4447
4448/**
4449 * The device registration structure.
4450 */
4451const PDMDEVREG g_DeviceICHAC97 =
4452{
4453 /* .u32Version = */ PDM_DEVREG_VERSION,
4454 /* .uReserved0 = */ 0,
4455 /* .szName = */ "ichac97",
4456 /* .fFlags = */ PDM_DEVREG_FLAGS_DEFAULT_BITS | PDM_DEVREG_FLAGS_RZ | PDM_DEVREG_FLAGS_NEW_STYLE,
4457 /* .fClass = */ PDM_DEVREG_CLASS_AUDIO,
4458 /* .cMaxInstances = */ 1,
4459 /* .uSharedVersion = */ 42,
4460 /* .cbInstanceShared = */ sizeof(AC97STATE),
4461 /* .cbInstanceCC = */ CTX_EXPR(sizeof(AC97STATER3), 0, 0),
4462 /* .cbInstanceRC = */ 0,
4463 /* .cMaxPciDevices = */ 1,
4464 /* .cMaxMsixVectors = */ 0,
4465 /* .pszDescription = */ "ICH AC'97 Audio Controller",
4466#if defined(IN_RING3)
4467 /* .pszRCMod = */ "VBoxDDRC.rc",
4468 /* .pszR0Mod = */ "VBoxDDR0.r0",
4469 /* .pfnConstruct = */ ichac97R3Construct,
4470 /* .pfnDestruct = */ ichac97R3Destruct,
4471 /* .pfnRelocate = */ NULL,
4472 /* .pfnMemSetup = */ NULL,
4473 /* .pfnPowerOn = */ NULL,
4474 /* .pfnReset = */ ichac97R3Reset,
4475 /* .pfnSuspend = */ NULL,
4476 /* .pfnResume = */ NULL,
4477 /* .pfnAttach = */ ichac97R3Attach,
4478 /* .pfnDetach = */ ichac97R3Detach,
4479 /* .pfnQueryInterface = */ NULL,
4480 /* .pfnInitComplete = */ NULL,
4481 /* .pfnPowerOff = */ ichac97R3PowerOff,
4482 /* .pfnSoftReset = */ NULL,
4483 /* .pfnReserved0 = */ NULL,
4484 /* .pfnReserved1 = */ NULL,
4485 /* .pfnReserved2 = */ NULL,
4486 /* .pfnReserved3 = */ NULL,
4487 /* .pfnReserved4 = */ NULL,
4488 /* .pfnReserved5 = */ NULL,
4489 /* .pfnReserved6 = */ NULL,
4490 /* .pfnReserved7 = */ NULL,
4491#elif defined(IN_RING0)
4492 /* .pfnEarlyConstruct = */ NULL,
4493 /* .pfnConstruct = */ ichac97RZConstruct,
4494 /* .pfnDestruct = */ NULL,
4495 /* .pfnFinalDestruct = */ NULL,
4496 /* .pfnRequest = */ NULL,
4497 /* .pfnReserved0 = */ NULL,
4498 /* .pfnReserved1 = */ NULL,
4499 /* .pfnReserved2 = */ NULL,
4500 /* .pfnReserved3 = */ NULL,
4501 /* .pfnReserved4 = */ NULL,
4502 /* .pfnReserved5 = */ NULL,
4503 /* .pfnReserved6 = */ NULL,
4504 /* .pfnReserved7 = */ NULL,
4505#elif defined(IN_RC)
4506 /* .pfnConstruct = */ ichac97RZConstruct,
4507 /* .pfnReserved0 = */ NULL,
4508 /* .pfnReserved1 = */ NULL,
4509 /* .pfnReserved2 = */ NULL,
4510 /* .pfnReserved3 = */ NULL,
4511 /* .pfnReserved4 = */ NULL,
4512 /* .pfnReserved5 = */ NULL,
4513 /* .pfnReserved6 = */ NULL,
4514 /* .pfnReserved7 = */ NULL,
4515#else
4516# error "Not in IN_RING3, IN_RING0 or IN_RC!"
4517#endif
4518 /* .u32VersionEnd = */ PDM_DEVREG_VERSION
4519};
4520
4521#endif /* !VBOX_DEVICE_STRUCT_TESTCASE */
4522
Note: See TracBrowser for help on using the repository browser.

© 2023 Oracle
ContactPrivacy policyTerms of Use