<table cellspacing="0" cellpadding="0" border="0" ><tr><td valign="top" style="font: inherit;"><DIV>Thanks, I pretty much did the same fix to get it compiled.</DIV>
<DIV> </DIV>
<DIV>After this error, there are some more errors regarding intrin.h<BR><BR>--- On <B>Thu, 2/3/11, Mihai Hanor <I><mhanor@yahoo.com></I></B> wrote:<BR></DIV>
<BLOCKQUOTE style="PADDING-LEFT: 5px; MARGIN-LEFT: 5px; BORDER-LEFT: rgb(16,16,255) 2px solid"><BR>From: Mihai Hanor <mhanor@yahoo.com><BR>Subject: Re: [vbox-dev] latest svn build failure on Windows<BR>To: vbox-dev@virtualbox.org<BR>Date: Thursday, February 3, 2011, 2:06 PM<BR><BR>
<DIV id=yiv384324553>
<TABLE cellSpacing=0 cellPadding=0 border=0>
<TBODY>
<TR>
<TD vAlign=top>The fix was suggested by someone else, last year, on december, after I've tried to get it to work with __pragma and type casting. But I'm not using it, because it offsets the lines. I'm still commenting out VBOX_VCC_WERR ?= -WX<BR><BR>--- On <B>Thu, 2/3/11, Huihong Luo <I><huisinro@yahoo.com></I></B> wrote:<BR>
<BLOCKQUOTE style="PADDING-LEFT: 5px; MARGIN-LEFT: 5px; BORDER-LEFT: rgb(16,16,255) 2px solid"><BR>From: Huihong Luo <huisinro@yahoo.com><BR>Subject: [vbox-dev] latest svn build failure on Windows<BR>To: vbox-dev@virtualbox.org<BR>Date: Thursday, February 3, 2011, 10:21 PM<BR><BR>
<DIV id=yiv384324553>
<TABLE cellSpacing=0 cellPadding=0 border=0>
<TBODY>
<TR>
<TD vAlign=top>
<DIV id=yiv384324553>
<TABLE class=yiv384324553 id=yiv384324553bodyDrftID cellSpacing=0 cellPadding=0 border=0>
<TBODY>
<TR>
<TD id=yiv384324553drftMsgContent style="FONT-SIZE: 10pt; FONT-FAMILY: arial">kBuild: Generating C:/virtualbox-dev/vbox-latest/out/win.x86/release/obj/webservice/VirtualBox.xidl<BR>kBuild: xsltproc VBoxSVC - C:/virtualbox-dev/vbox-latest/src/VBox/Main/idl/midl.xsl<BR>kBuild: Compiling RuntimeR3 - C:/virtualbox-dev/vbox-latest/src/VBox/Runtime/common/misc/circbuf.cpp<BR>circbuf.cpp<BR>c:\virtualbox-dev\vbox-latest\src\vbox\runtime\common\misc\circbuf.cpp(101) : error C2220: warning treated as error - no<BR> 'object' file generated<BR>c:\virtualbox-dev\vbox-latest\src\vbox\runtime\common\misc\circbuf.cpp(101) : warning C4739: reference to variable 'cbSi<BR>ze' exceeds its storage space<BR>c:\virtualbox-dev\vbox-latest\src\vbox\runtime\common\misc\circbuf.cpp(111) : warning C4739: reference to variable 'cbSi<BR>ze' exceeds its storage space<BR>c:\virtualbox-dev\vbox-latest\src\vbox\runtime\common\misc\circbuf.cpp(138) : warning C4739: reference to
 variable 'uUse<BR>d' exceeds its storage space<BR>c:\virtualbox-dev\vbox-latest\src\vbox\runtime\common\misc\circbuf.cpp(163) : warning C4739: reference to variable 'cbOl<BR>d' exceeds its storage space<BR>c:\virtualbox-dev\vbox-latest\src\vbox\runtime\common\misc\circbuf.cpp(182) : warning C4739: reference to variable 'cbSi<BR>ze' exceeds its storage space<BR>c:\virtualbox-dev\vbox-latest\src\vbox\runtime\common\misc\circbuf.cpp(208) : warning C4739: reference to variable 'cbOl<BR>d' exceeds its storage space<BR>kmk: *** [C:/virtualbox-dev/vbox-latest/out/win.x86/release/obj/RuntimeR3/common/misc/circbuf.obj] Error 2<BR>The failing command:</TD></TR></TBODY></TABLE></DIV></TD></TR></TBODY></TABLE></DIV><BR>-----Inline Attachment Follows-----<BR><BR>
<DIV class=yiv384324553plainMail>_______________________________________________<BR>vbox-dev mailing list<BR><A rel=nofollow>vbox-dev@virtualbox.org</A><BR><A href="http://vbox.innotek.de/mailman/listinfo/vbox-dev" target=_blank rel=nofollow>http://vbox.innotek.de/mailman/listinfo/vbox-dev</A><BR></DIV></BLOCKQUOTE></TD></TR></TBODY></TABLE><BR></DIV><BR>-----Inline Attachment Follows-----<BR><BR>
<DIV class=plainMail>_______________________________________________<BR>vbox-dev mailing list<BR><A href="http://us.mc343.mail.yahoo.com/mc/compose?to=vbox-dev@virtualbox.org" ymailto="mailto:vbox-dev@virtualbox.org">vbox-dev@virtualbox.org</A><BR><A href="http://vbox.innotek.de/mailman/listinfo/vbox-dev" target=_blank>http://vbox.innotek.de/mailman/listinfo/vbox-dev</A><BR></DIV></BLOCKQUOTE></td></tr></table>